CN112176305A - Method for forming film by gas cluster ion beam in vacuum - Google Patents

Method for forming film by gas cluster ion beam in vacuum Download PDF

Info

Publication number
CN112176305A
CN112176305A CN202010998454.8A CN202010998454A CN112176305A CN 112176305 A CN112176305 A CN 112176305A CN 202010998454 A CN202010998454 A CN 202010998454A CN 112176305 A CN112176305 A CN 112176305A
Authority
CN
China
Prior art keywords
gcib
thin film
ultra
forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
CN202010998454.8A
Other languages
Chinese (zh)
Inventor
曹路
刘翊
张同庆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jiangsu Jichuang Atomic Cluster Technology Research Institute Co ltd
Original Assignee
Jiangsu Jichuang Atomic Cluster Technology Research Institute Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jiangsu Jichuang Atomic Cluster Technology Research Institute Co ltd filed Critical Jiangsu Jichuang Atomic Cluster Technology Research Institute Co ltd
Priority to CN202010998454.8A priority Critical patent/CN112176305A/en
Publication of CN112176305A publication Critical patent/CN112176305A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/028Physical treatment to alter the texture of the substrate surface, e.g. grinding, polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0647Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0664Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

A method of forming a thin film in vacuum with a gas cluster ion beam, the substrate being provided in a reduced pressure environment; generating the at least one gas-cluster ion beam GCIB from the pressurized gas mixture; selecting an ion cluster acceleration potential and dose to achieve said thickness of less than 5 nm; irradiating the accelerated at least one GCIB onto the at least a portion of the substrate according to the ion cluster dose; forming the ultra-thin film on the at least a portion of the substrate to the thickness; the thickness of the ultrathin film is less than 5 nm; (a) optionally growing a mixed sublayer in a surface portion of the substrate by mixing at least one atomic component of the substrate with at least one film-forming atomic component of the at least one GCIB, (b) depositing one or more film-forming atoms from the at least one GCIB component on the surface portion of the base to form a deposited layer.

Description

Method for forming film by gas cluster ion beam in vacuum
Technical Field
The present invention relates to a method of forming an ultra-thin film using a Gas Cluster Ion Beam (GCIB).
Background
Gas Cluster Ion Beams (GCIB) can be used for etching, cleaning, smoothing and forming thin films. Gas-clusters refer to nanoscale aggregates of materials that are in a gaseous state under standard conditions of temperature and pressure. Such gas-clusters may consist of ion beam aggregates, including ionization of a few to thousands of molecules or more loosely bound together. The gas-clusters may be ionized by electron bombardment, which will allow the gas-clusters to be formed into a directed beam of controllable energy. These cluster ions typically each carry a positive charge given by the product of the magnitude of the electronic charge and an integer greater than or equal to the charge state representing the cluster ion.
Larger sized cluster ions are generally most useful because they can carry a large amount of energy per cluster ion, with only modest energy per molecule. The ion clusters disintegrate upon collision with the substrate. Each molecule in a particular dissociated ion cluster carries only a small fraction of the total cluster energy. Thus, large clusters of ions have a large impact, but are limited to very shallow surface regions. This allows gas cluster 1Ons to be effective for a variety of surface modification processes without creating the deeper subsurface damage typical of conventional ion beam processing.
Conventional cluster ion sources are capable of producing cluster ions with a wide size distribution, which scales with the number of molecules in each cluster, which can reach thousands of molecules. During the adiabatic expansion of the high pressure gas from the nozzle to the vacuum, condensation of many individual gas atoms (or molecules) can form clusters of atoms. A skimmer with small holes strips the diverging gas stream from the center of the expanding gas stream to produce a collimated cluster beam. Neutral clusters of various sizes are created and held together by weak interatomic forces known as van der waals forces. The method has been used to generate clusters from a variety of gases, such as helium, neon, argon, krypton, xenon, nitrogen, oxygen, carbon dioxide, sulfur hexafluoride, nitric oxide and nitrous oxide and mixtures thereof.
Several emerging applications for GCIB processing of substrates on an industrial scale are in the semiconductor field. Despite the wide variety of processes for GCIB processing of substrates, many processes still fail to provide adequate control of critical characteristics and/or dimensions of GCIB-processed surfaces, structures and/or films.
Disclosure of Invention
The present invention is directed to a method of forming a thin film using a Gas Cluster Ion Beam (GCIB). The present invention is also directed to methods of depositing or growing thin films on a substrate using GCIB.
The solution of the present invention is a method for forming a thin film in vacuum by a gas cluster ion beam current, forming a thin film on at least a portion of a substrate using at least one Gas Cluster Ion Beam (GCIB), wherein the ultra-thin film has a thickness of less than 5nm, and wherein the forming comprises (a) forming a deposited layer by mixing at least one atomic component in the substrate with at least one film forming atomic component in the at least one GCIB, optionally growing a mixed sublayer in a surface portion of the substrate, and (b) depositing one or more film forming atoms from a component of the at least one GCIB on the surface portion of the base, wherein the mixed sublayer and the deposited layer together form an ultra-thin film. The pressurized gas mixture may generate the ion cluster beam comprising an oxygen-containing gas, a nitrogen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a silicon-containing gas, a phosphorus-containing gas, a boron-containing gas, an arsenic-containing gas, a sulfur-containing gas, or a germanium gas, or a combination of two or more thereof. Generating the GCIB from a pressurized gas mixture in a reduced pressure environment on the substrate;
selecting an ion cluster acceleration potential and an ion cluster dose to achieve said thickness of less than 5 nm; accelerating the GCB according to the ion cluster acceleration potential; or according to the beam dose, irradiating the accelerated GCIB onto an interlayer dielectric layer on the substrate; depositing the ultra-thin film to the thickness.
Providing the substrate in a reduced pressure environment; generating the at least one GCIB from a pressurized gas mixture in the reduced pressure environment; selecting an ion cluster acceleration potential and an ion cluster dose to achieve said thickness of less than 5 nm; accelerating the at least one GCIB in accordance with the ion cluster acceleration potential; irradiating the accelerated at least one GCIB onto the at least a portion of the substrate according to the ion cluster dose; forming the ultra-thin film on the at least a portion of the substrate to the thickness. In particular, the thickness is less than about 3 nm.
According to one embodiment, a method of making a thin film is described. The method includes forming an ultra-thin film on a portion of a substrate using GCIB, wherein the ultra-thin film has a thickness of less than about 5 nm.
According to another embodiment, a method of forming an ultra-thin film on a substrate is described. The method comprises the following steps: providing a substrate in a reduced pressure environment; generating a GCIB from the pressurized gas mixture in a reduced pressure environment; selecting an ion cluster acceleration potential and an ion cluster dose to achieve a film thickness of less than about 5 nm; accelerating GCIB according to the ion cluster accelerating potential; irradiating an accelerated GCIB onto at least a portion of the substrate according to the ion cluster dose; forming the ultra-thin film on at least a portion of the substrate to achieve the thickness.
According to another embodiment, a method of making an interconnect structure is described. The method comprises the following steps. Forming an ultrathin film on the metal wire by using GCIB; forming an interlayer dielectric layer above the ultrathin film; a pattern is etched into the interlayer dielectric layer and stops on the ultra-thin film.
According to another embodiment, a method of making an interconnect structure 1s is described. The method comprises the following steps: forming an interlayer dielectric layer; and depositing an ultra-thin film on the interlayer dielectric layer using GCIB; etching a trench or a via or both into the interlevel dielectric layer; metallizing the trench or via or both; and polishing the metallization trench, 0r Via, or both, until an ultra-thin film is reached.
According to another embodiment, a method of making an interconnect structure is described. The method comprises the following steps: forming an interlayer dielectric layer; forming a chemical mechanical polishing ((MP) stop layer) over the interlevel dielectric layer; etching a trench or via in the interlevel dielectric layer, or in between; a metalized trench or via or both; polishing the metallization trench or via or both until the CMP stop layer is reached without overpolishing the metallization trench or via or both; and forming an ultra-thin film thereon the polished metalized trench or via or both are polished using GCIB.
According to another embodiment, a method of making an interconnect structure is described. The method comprises the following steps: forming a first ultra-thin film on the metal line using a first GCIB; forming a first interlayer dielectric layer over the first ultra-thin film; forming a second ultra-thin film on the first interlayer dielectric layer using a second GCIB; forming a second interlayer dielectric layer on the second ultra-thin film; etching a via into the first interlayer dielectric layer and stopping on the first ultra-thin film; a trench is etched in the second interlevel dielectric layer and stops on the second ultra-thin film, wherein the first and second ultra-thin films are each less than about 5nm thick.
According to another embodiment, a copper interconnect is described that includes a thin film capping layer formed using GCIB having a thickness of less than about 5 nm. According to another embodiment, an interlayer dielectric layer is described that includes a thin film capping layer formed using GCIB having a thickness of less than about 5 nm. In accordance with yet another embodiment, an ultra-thin barrier layer is described that includes a thin film layer formed using GCIB having a thickness of less than about 5 nm.
Drawings
FIGS. 1A and 1B illustrate in schematic cross-sectional views a method of making an interconnect structure according to the prior art;
fig. 2 shows in a schematic cross-sectional view a method of preparing an interconnect structure according to an embodiment;
FIGS. 3A-3C illustrate, in schematic cross-sectional views, methods of forming ultrathin films, in accordance with various embodiments;
fig. 4 shows a method of preparing an interconnect structure according to another embodiment in a schematic cross-sectional view.
Figure 5 is an illustration of a GCIB processing system.
FIG. 6 is another schematic view of a GCIB processing system;
FIG. 7 is another schematic view of a GCIB processing system;
figure 8 is a diagrammatic view of an ionization source for a GCIB processing system.
Figures 9-11 are graphs that respectively provide exemplary data for forming thin films using GCIB.
FIG. 12 provides exemplary data for GCIB cluster size;
fig. 13A to 13E are schematic cross-sectional views obtained by a description method embodiment.
Fig. 14A to 14F are schematic sectional views depicting another method.
Detailed Description
In various embodiments, a method and system for forming a thin film on a substrate using a Gas Cluster Ion Beam (GCIB) is disclosed. One skilled in the relevant art will recognize, however, that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials and configurations are set forth in order to provide a thorough understanding of the present invention. However, the invention may be practiced without the specific details. Furthermore, it should be understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
In the description and claims, the terms "coupled" and "connected," along with their derivatives, are used. It should be understood that these terms are not intended as synonyms for each other. Rather, in particular embodiments, "connected" may be used to indicate that two or more elements are in direct physical or electrical contact with each other, while "coupled" may also mean that two or more elements are not in direct contact with each other, but yet may still cooperate or interact with each other.
Reference throughout this specification to "one embodiment" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but does not denote that they are present in every embodiment. Thus, the appearances of the phrases "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. In other embodiments, various additional layers and/or structures may be included and/or the described features may be omitted.
As noted above, it is often desirable to form a thin film of material on a surface of a substrate using GCIB. In particular, there is a need to form thin films on substrates while providing adequate control of critical properties and/or dimensions of the surfaces, structures and/or films subjected to GCIB processing. Furthermore, as noted above, it is desirable to deposit or grow ultra-thin films using GCIB only on selected surfaces of the substrate.
As used herein, the term "forming (or" forming ") is used broadly to refer to the preparation of a thin film of material on one or more surfaces of a substrate. During the growth process, a thin film is formed on the substrate, wherein only a portion of the atomic composition of the thin film is introduced into the GCIB, while the remainder is provided by the graphene. For example, when growing SiO on a substratexIn some embodiments, the substrate may comprise a silicon surface that is irradiated with an oxygen-containing GCIB, so that the layer grown is the reaction product of silicon and silicon. In contrast, during deposition, a film is formed on the substrate wherein substantially all of the atomic composition of the film is incorporated into the GCIB, e.g., when depositing SiCxWhen the substrate is Ella, it is mediated by GCIB containing both silicon and carbon.
Referring now to fig. 1A and 1B, a prior art interconnect structure is shown in schematic cross-sectional views. A portion of an interconnect layer 1 is shown, which includes metal wiring layers with corresponding insulating layers. The interconnect layer 1 includes a low dielectric constant (low-k) dielectric layer 10, dielectricA capping layer 20 and a metal line 15. Conventionally, the low-k dielectric layer 10 and the dielectric capping layer 20 are formed using vapor deposition techniques and, thereafter, patterned and metallized to form the metal lines 15. The low dielectric constant dielectric layer 10 may comprise a non-porous or porous SiCOH-containing film and the dielectric cap layer 20 may comprise SiO2. The dielectric cap layer 20 may provide structural integrity to the insulating layer in subsequent process steps.
After metallization, the metal filling the pattern in the low-k dielectric layer 10 and the dielectric cap layer 20 is polished back until the dielectric cap layer 20 is reached. In addition, the metal line 15 and the dielectric cap layer 20 are over-polished (labeled as "5" in fig. 1A) to reduce the thickness of the dielectric cap layer 20. As shown in fig. 1A and 1B, after the overpolish step 5, the initial total thickness 12 of the interconnect layer 1 (and metal line 15) is reduced to a final total thickness 12 'of the polished interconnect layer 1' (and metal line 15). In addition, the initial thickness 22 of the dielectric cap layer 20 is reduced to a final thickness 22' of the dielectric cap layer 20. Typically, the initial (22) and final (22') thicknesses of the dielectric cap layer 20 occupy a significant proportion. An initial total thickness (12) of the metal lines and a fraction 15 of the final total thickness (12').
This limitation is due, in part, to the inability of conventional vapor deposition techniques to deposit thin films having thicknesses of less than about 10 nanometers (nm). As a result, the effective dielectric constant of the insulating layers (i.e., the low-k dielectric layer 10 and the dielectric capping layer 20) is compromised. For example, the initial total thickness 12 of the metal lines 15 may be about 80nm, and the final total thickness 12' may be about 50 nm. Further, for example, the initial thickness 22 of the dielectric cap layer 20 may be about 50nm, and the final thickness 22' may be about 20 nm. Thus, the dielectric cap layer 20 (typically SiO with a dielectric constant of 4)2) Accounting for about 40% of the effective dielectric constant of the insulating layer. Conventional techniques, including Chemical Vapor Deposition (CVD) and Chemical Mechanical Polishing (CMP), cannot achieve film thicknesses less than about 10 nm.
Referring now to fig. 2, an interconnect structure is shown in a schematic cross-sectional view in accordance with one embodiment. A portion of an interconnect layer 25 is shown including metal routing layers with corresponding insulating layers. The interconnect layer 25 includes a low-k dielectric layer 30, a capping layer 40 and a metal line 35. The low-k dielectric layer 30 is formed using a vapor deposition technique and then patterned and metallized to form metal lines 35. The low-k dielectric layer 30 may comprise a non-porous or porous SiCOH-containing film.
Unlike conventional interconnect layers, the capping layer 40 is formed using GCIB, as shown in fig. 1A and 1B. Wherein the capping layer 40 is formed to have a thickness 42 of less than about 5nm, which is a fraction of the total thickness 32 of the interconnect layer 25. As a result, although the capping layer 40 may serve as a barrier layer for the isolation layer. In subsequent interconnect layers, the dielectric constant of the insulating layer is minimally affected. Herein, a GCIB process for preparing ultra-thin films that can be integrated with interconnect structures is described.
The inventors have found that ultra-thin films formed using GCIB processes with thicknesses of 5nm (nanometers) or less have a level of hermeticity equal to that of substantially thicker films (on the order of magnitude) formed by conventional PECVD methods. For example, the inventors have observed that ultra-thin films (less than 3nm thick) of SiN, as well as other materials, reduce copper mobility and electromigration at the interface between the metal wiring and the adjacent insulating structure.
As shown in fig. 1 and 2. As shown in fig. 3A and 3B, during GCIB deposition, material is implanted into the sub-layer 31 'of the irradiated surface of the substrate 30' at a low GCIB dose and eventually transitions to a pure deposition process at a higher GCIB dose. The injection of material into the sublayer 31 'forms a hybrid layer 41' that serves as a graded interface between the underlying substrate composition and a layer 43 'subsequently deposited on the substrate 30'. The injected mixed layer 41' partly acts as a diffusion barrier. However, the quality of layer 43 'deposited on substrate 30' enhances the diffusion characteristics of the entire ultrathin film 40 'of thickness 42'. The material composition of the material implanted into the sub-layer 31 'of the substrate 30' to form the hybrid layer 41 'may be the same as the material composition of the material deposited to form the layer 43', as shown in fig. 2. The example shown in fig. 3B (e.g., the same stippling for mixed layer 41 'and layer 43'). Alternatively, as shown in fig. 3C, the material composition of the material implanted into substrate 30' to form hybrid layer 41' may be different from the material composition of the material deposited to form layer 43 "(e.g., different hatching) and stippled for hybrid layer 41' and layer 43"). In the former, one or more GCIBs can be used to form the entire ultrathin film 40'. In the latter, two or more GCI bs may be used to form the entire ultrathin film 40', including hybrid layer 41' and layer 43 ". Alternatively, in the latter, conventional processes may be used to form hybrid layer 41' followed by one or more GCIBs to form layer 43 ". The conventional process may include an implantation process, a growth process, an oxidation process, a nitridation process, and the like.
The inventors have discovered that high quality ultra-thin films below 5nm can be formed using growth and/or deposition processes under various GCIB conditions. As discussed below, when growing ultra-thin films, the inventors have observed that increasing the beam acceleration potential (or GCIB energy) can result in thicker films while increasing the roughness of the film for a given beam dose (or GCIB dose). . Alternatively, the inventors have observed that GCIB growth processes using relatively low GCIB energies and/or broad GCIB energy distributions can be performed to achieve ultra-thin films below 5nm while achieving lower roughness. However, ultra-thin films below 5nm can be obtained using a wide range of GCIB energies and GCIB doses (including other parameters to be discussed below). In addition, as described below, when depositing ultra-thin films, the inventors have observed that increasing the GCIB energy can result in thicker films while increasing the roughness of the film for a given GCIB dose. Alternatively, the inventors have observed that GCIB deposition processes using relatively low GCIB energies and/or broad GCIB energy distributions can be performed to achieve ultra-thin films below 5nm while achieving lower roughness. However, ultra-thin films below 5nm can be obtained using a wide range of GCIB energies and GCIB doses (including other parameters to be discussed below). Further, as will be discussed below, pre-treatment processes and/or post-treatment processes may be performed to adjust one or more film properties, including but not limited to film thickness, film roughness, film adhesion, film composition, and the like.
Referring now to fig. 4, an interconnect structure is shown in a schematic cross-sectional view in accordance with another embodiment. A portion of interconnect structure 50 is plated including metal wiring layers with respective insulating layers and contact vias for making electrical contact with the metal wiring layers. Interconnect structure 50 includes a first low-k dielectric layer 60 having metal lines 65. A second low-k dielectric layer 80 is prepared over the first low-k dielectric layer 60, and a contact via 90 is formed within the second low-k dielectric layer 80. An etch stop layer 70 is interposed between the first low-k dielectric layer 60 and the second low-k dielectric layer 80 to help timely terminate the etching step for forming the contact via 90 while preventing the etching process from penetrating the insulating layer 60. The base metal line 65.
The etch stop layer 70 may include a first layer 71 and a second layer 72, as shown in fig. 4. The first layer 71 may be an ultra-thin film formed using a GCIB process, wherein the first layer 71 serves, inter alia, as a barrier layer and an adhesion layer (e.g., a film with good adhesion to Cu). The first layer 71 is formed using a GCIB process to have a thickness 74 of less than about 5nm, which is a small fraction of the total thickness 76 of the etch stop layer 70. Conventional techniques, including CVD and CMP, cannot achieve film thicknesses of less than about 10 nm.
The second layer 72 may supplement the first layer 71 to provide a thicker etch stop layer 70; the second layer 72 may be complementary to the first layer 71. However, the material properties of the second layer 72 may be selected to have minimal impact on the effective dielectric constant of the first and second low-k dielectric layers 60, 80. Since the first layer 71 provides good barrier properties and good adhesion properties, the second layer 72 can be designed to have good mechanical properties while having a low dielectric constant. For example, the first layer may include a SiCN-containing film, and the second layer 72 may include a SiCO-containing film.
Thus, according to one embodiment, a method of making a thin film is described. The method includes forming an ultra-thin film on a portion of a substrate using GCIB, wherein the ultra-thin film has a thickness of less than about 5 nm.
According to another embodiment, a method of forming an ultra-thin layer on a substrate is described. The method comprises the following steps: providing a substrate in a reduced pressure environment; generating a GCIB from the pressurized gas mixture in a reduced pressure environment; selecting an ion cluster acceleration potential and an ion cluster dose to achieve a film thickness of less than about 5 nm; accelerating the GCIB according to ion cluster acceleration potential; irradiating the accelerated GCIB onto at least a portion of the substrate according to the ion cluster dose; a thin film is formed over at least a portion of the substrate to achieve the thickness.
Here, the ion cluster dose is given in units of the number of clusters per unit area. However, the beam dose may also include beam current and/or time (e.g., GCIB dwell time). For example, the beam current may be measured and held constant while the time is varied to vary the beam dose. Alternatively, for example, the rate at which the clusters remain per unit area (i.e., the number of clusters per unit area and the unit time per unit harvester) may remain constant when the time is varied to vary the beam dose.
In addition, other GCIB properties may be varied to adjust the film thickness, as well as other film properties (e.g., surface roughness), including but not limited to gas flow rate, stagnation pressure, cluster size or gas nozzle design (e.g., nozzle throat) diameter, nozzle length and/or nozzle divergence half angle. In addition, other film properties, including but not limited to film density, film quality, etc., can be altered by adjusting the GCIB properties.
Referring now to FIG. 5, a GCIB processing system 100 for forming an ultrathin film as described above is depicted in accordance with one embodiment. GCIB processing system 100 includes vacuum vessel 102, substrate holder 150, vacuum processing systems 170A, 170B, and 170C mounted on substrate holder 150, and substrate 152 to be processed mounted on substrate holder 150. The substrate 152 may be a semiconductor substrate, a wafer, a Flat Panel Display (FPD), a Liquid Crystal Display (LCD), or any other workpiece. GCIB processing system 100 is configured to generate a GCIB for processing substrate 152.
Still referring to GCIB processing system 100 in fig. 5, vacuum vessel 102 includes three communicating chambers, namely source chamber 1,04, ionization/acceleration chamber 106 and processing chamber 108 for providing a reduced pressure enclosure. The three chambers are evacuated to the appropriate operating pressures by vacuum pumping systems 170A, 170B and 170C, respectively. In the three communicating chambers 104, 106, 108, a gas cluster beam may be formed in the first chamber (source chamber 104) and a GCIB may be formed in the second chamber (ionization/acceleration chamber 106), where the gas cluster beam is ionized and accelerated. The substrate 152 may then be processed with the accelerated GCIB in the third chamber (processing chamber 108).
As shown in fig. 1. Referring to fig. 5, GCIB processing system 100 may include one or more gas sources configured to introduce one or more gases or gas mixtures into vacuum vessel 102. For example, the first gas component stored in the first gas source 111 enters under pressure through the first gas source. Gas control valve 113A is connected to one or more gas metering valves. In addition, for example, the second gas component stored in the second gas source 112 enters the gas metering valve or gas metering valve gate 113 through the second gas control valve 11B under pressure. Further, for example, the first gas component or the second gas component or both may comprise a film-forming gas component. Further, for example, the first gas component or the second gas component, or both, may include a condensable inert gas, carrier gas, or diluent gas. For example, the inert gas, carrier gas, or diluent gas may include a noble gas, i.e., He, Ne, Ar, Kr, Xe, or Rn.
In addition, the first gas source 111 and the second gas source 112 may be used alone or in combination with each other to generate ionized clusters. The film-forming composition may comprise one or more film precursors, including the predominant atomic or molecular species of the film desired to be produced, deposited or grown on the substrate.
High pressure, condensable gases comprising the first gas component or the second gas component or both enter the stagnation chamber 116 through the gas supply tube 114 and are injected into the substantially low pressure vacuum through the appropriately shaped nozzle 110. As the high pressure, condensable gases expand from the stagnation chamber 116 to the low pressure region of the source chamber 104, the gas velocity accelerates to a supersonic velocity and a gas shower 118 is emitted from the nozzle 110.
The inherent cooling of the jets is exchanged for kinetic energy in static enthalpy, which is caused by the expansion of the jets, causing a portion of the jets to condense and form a gas cluster beam 118 having clusters, each cluster consisting of several to several. Thousands of weakly bound atoms or molecules. A gas separator 120 is located downstream of the exit of the nozzle 110 between the source chamber 104 and the ionization/acceleration chamber 106, which gas separator 120 separates the peripheral gas molecule portions that may not condense into the gas cluster beam 118 from clusters formed from gas molecules in the core of the gas cluster beam 118 that may have already formed clusters. Among other reasons, such selection of a portion of the gas cluster 118 may result in a pressure drop in a downstream region where higher pressures may be detrimental (e.g., ionizer 1222 and process chamber 108). In addition, the gas separator 120 defines the initial size of the gas cluster beam entering the ionization/acceleration chamber 106.
After forming the gas cluster beam 118 in the source chamber 104, the constituent gas clusters in the gas cluster beam 118 are ionized by the ionizer 122 to form the GCIB 128. The ionizer 122 may comprise an electron impact ionizer that generates electrons from one electron. Or more filaments 124 are accelerated and directed to collide with gas clusters in the gas cluster beam 118 within the ionization/acceleration chamber 106. Upon collision with a gas cluster, electrons of sufficient energy release electrons from the molecules in the gas cluster to produce ionization of the ionized molecular gas-cluster can result in a large number of charged gas-cluster ions, typically having a net positive charge.
As shown in fig. 5, beam electronics 130 are used to ionize, extract, accelerate and focus GCIB 128. The beam electronics 130 includes a filament power supply 136 that provides a voltage VATo heat the ionizer filament 124.
In addition, beam electronics 130 include a set of suitably biased high voltage electrodes 126 in ionization/acceleration chamber 106 that extract cluster ions from ionizer 122. The extracted cluster ions are then accelerated to the desired energy and focused by the high voltage electrode 126. They define GCIB 128. The kinetic energy of the cluster ions in GCIB 18 is typically between about 1000 electron volts (1keV) and tens of keV. For example, the GCIB128 may accelerate to 1 to 100 keV.
As shown in fig. 1. As shown in FIG. 5, the beam electronics 130 also includes an anode power supply 134 that provides a voltage V to the anode of the ionizer 122ATo accelerate electrons emitted from the filament 124 and cause the electrons to bombard gas clusters in the gas cluster beam 118, thereby generating cluster ions. .
In addition, as shown in FIG. 5, the beam electronics 130 include extractionA power source 138 for extracting the voltage V provided by the power source 138ETo bias at least one high voltage electrode 126 to extract ions from the ionization region of the ionizer 122 and form a GCIB 128. For example, the extraction power 138 goes high
In addition, beam electron device 130 may include an accelerator power supply 140, accelerator power supply 140 providing a voltage VACCTo bias one of the high voltage electrodes 126 with respect to the ionizer 122 to produce a voltage equal to about VACCTotal GCIB acceleration energy in electron volts (eV). For example, the accelerator power supply 140 supplies a voltage less than or equal to the anode voltage of the ionizer 1222 and the extraction voltage of the first electrode to the second electrode of the high voltage electrodes 126.
Further, the beam electronics 130 may include lens power supplies 142, 144, which may be provided with a potential (e.g., V @)L1And VL2) Some of the high voltage electrodes 126 are biased to focus the GCIB 128. For example, the lens power supply 142 may provide a voltage less than or equal to the anode voltage of the ionizer 122, the extraction voltage of the first electrode, and the accelerator voltage of the second electrode to the third electrode of the high voltage electrodes 126, and the circuit of the lens power supply 142 may provide a voltage less than or equal to the anode voltage of the ionizer 122, the extraction voltage of the first electrode, the accelerator voltage of the second electrode, and the voltage of the first lens to the fourth electrode of the high voltage electrodes 126. Voltage of the third electrode.
Note that many variations on the ionization and extraction scheme may be used. Although the approach described herein may be used for instructional purposes, another extraction approach involves placing the first element of the ionizer and the extraction electrodes (or extraction optics) at VACCThe above. This typically requires fiber optic programming of the control voltage. Ionizer power, but the overall optical system can be simplified. The invention described herein is useful regardless of the details of the ionizer and the extraction lens offset. An ion cluster filter 146 in the ionization/acceleration chamber 106 downstream of the high voltage electrode 126 may be used to eliminate monomer or monomer and light cluster ions from the GCIB128 to define a filtered process GCIB128A into the processing chamber 108. In one embodiment, beam filter 146 substantially reduces the number of clusters having 100 or fewer atoms or molecules or both. Beam filterA magnet assembly may be included for applying a magnetic field over the GCIB128 to assist in the filtering process.
Still referring to fig. 5, a beam gate 148 is disposed in the path of the GCIB128 in the ionization/acceleration chamber 106. The beam gate 148 has an open state in which the GCIB128 is allowed to pass from the ionization/acceleration chamber 106. To the processing chamber 108 to define a processing GCIB128A, and a closed state in which the GCIB128 is prevented from entering the processing chamber 108. The control cable conducts the control signal from the control system 190 to the cluster door 148. The control signals controllably switch the beam gate 148 between open or closed states.
Substrate 152 can be a wafer or semiconductor wafer, a Flat Panel Display (FPD), a Liquid Crystal Display (LCD), or other substrate to be processed by GCIB processing, with substrate 152 disposed in the path of processing GCIB 128A. Because most applications desire to process large substrates with spatially uniform results, a scanning system may be required to uniformly scan process GCIB128A over a large area to produce spatially uniform results.
The X-scan actuator 160 provides linear motion of the substrate support 150 in the direction of X-scan motion (into and out of the plane of the paper). Y-scan actuator 162 provides linear motion of substrate holder 150 in the direction of Y-scan motion 164, which is generally orthogonal to the X-scan motion. The combination of X-scan and Y-scan motions translates substrate 152 held by substrate holder 150 in a raster-like scanning motion by processing GCIB128A to cause uniform (or otherwise programmed) illumination of the substrate surface. The substrate 152 is processed by the process GCIB128A to process the substrate 152.
The substrate holder 150 arranges the substrate 152 at an angle relative to the axis of the process GCIB128A such that the process GCIB128A has an ion cluster incident angle 166 relative to the substrate 152 surface. The ion cluster incident angle 166 may be 90 degrees or other angles, but is typically 90 degrees or near 90 degrees. During the Y scan, the substrate 152 and substrate holder 150 move from the positions shown to alternate positions "a" indicated by indicators 152A and 150A, respectively. Note that substrate 152 is scanned through process GCIB128A as it moves between the two positions, and in both extreme positions, substrate 152 is moved completely out of the way of process GCIB128A (overscan). Although not explicitly shown in fig. 5, similar scanning and overscan are performed in (typically) orthogonal X-scan motion directions (in and out of the page).
A beam current sensor 180 can be disposed above substrate holder 150 in the path of process GCIB128A to intercept a sample of process GCIB128A as the path of process GCIB128A is scanned from substrate holder 150. . The beam current sensor 180 is typically a faraday cup or the like, except that the beam entrance opening is closed and typically secured to the walls of the vacuum vessel 102 by an electrically insulating mount 182.
As shown in fig. 1. As shown in fig. 5, the control system 190 is connected to the X scan actuator 160 and the Y scan actuator 162 by cables, and controls the X scan actuator 160 and the Y scan actuator 162 so as to put in or put out the substrate 152. Process GCIB128A and uniformly scan substrate 152 relative to process GCIB128A to achieve a desired processing of substrate 152 by processing GCIB 128A. Control system 190 receives the sampled beam current collected by beam current sensor 180 through the cable and thereby monitors the GCIB and controls the GCIB dose received by substrate 152 by removing substrate 152 from process GCIB128A in the following circumstances. The predetermined dose has been delivered.
In the embodiment illustrated in FIG. 1, and with reference to FIG. 6, GCIB processing system 100' may be similar to the embodiment of FIG. 5. As shown in fig. 5, and further including an XY positioning stage 253, the XY positioning stage 253 is operable to hold and move the substrate 252 in two axes, effectively scanning the beam energy distribution by directing the GCIB128 along a GCIB path through an increased pressure region within the pressure chamber 350. At least a portion of the GCIB traverses the increased pressure region. The degree of change in beam energy distribution can be characterized by the pressure-distance integral along that portion of the GCIB path, where the distance (or length of the pressure chamber 350) is represented by the path length (d). By increasing the pressure and/or the optical path length (d), the maximum value of the pressure-distance integral value increases, the beam energy distribution widens, and the peak energy decreases. When the value of the pressure-distance integral is reduced (beam energy distribution is narrowed, peak energy is increased by reducing pressure and/or path length (d)) is determined by U.S. patent No.7,060,989, entitled processing method using a gas cluster ion beam improved by the method and apparatus; the entire contents of which are incorporated herein by reference.
Control system 190 includes a microprocessor, memory and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to GCIB processing system 100 (or 100 ", 100"), as well as monitor outputs from the GCIB processing system 100 (or 100, 100 "). In addition, the control system 190 may be coupled to and exchange information with the vacuum pumping systems 170A, 170B, and 170C, the first gas source 111, the second gas source 112, and the first gas control valve 113A. For example, a "second gas control valve 113B", an electron beam 130, a beam filter 146, a beam gate 148, an X-scan actuator 160, a Y-scan actuator 162, and a beam current sensor 180. The memory can be used to activate inputs to the aforementioned components of GCIB processing system 100 in accordance with a process recipe in order to perform GCIB processing on substrate 152.
However, the control system 190 can be implemented as a general purpose computer system that performs a portion or all of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer-readable medium, such as a hard disk or a removable media drive. One or more processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Embodiments are not limited to any specific combination of hardware circuitry and software.
As described above, the control system 190 may be used to configure any number of processing elements, and the control system 190 may collect, provide, process, store, and display data from the processing elements. The control system 190 may include a variety of applications and a variety of controllers for controlling one or more processing elements. For example, the control system 190 may include a Graphical User Interface (GUI) component (not shown) that may provide an interface that enables a user to monitor and/or control one or more processing elements.
Control system 190 may be locally located relative to GCIB processing system 100 (or 100', 100 "), or may be remotely located relative to GCIB processing system 100 (or 100, 100"). For example, control system 190 can exchange data with GCIB processing system 100 using a direct connection, an intranet, and/or the internet. Control system 190 can be coupled to an intranet over, for example, a network.
A customer site (e.g., equipment manufacturer, etc.) may also be coupled to an Intranet at, for example, a vendor site (i.e., equipment manufacturer). Alternatively, or in addition, the control system 190 may be coupled to the internet. In addition, another computer (i.e., controller, server, etc.) may access control system 190 to exchange data via a direct connection, an intranet, and/or the internet.
The substrate 152 (or 252) can be secured to the substrate holder 150 (or substrate holder 250) by a clamping system (not shown), such as a mechanical clamping system or an electrical clamping system (e.g., an electrostatic clamping system). Substrate holder 150 (or 250) can include a heating system (not shown) or a cooling system (not shown) configured to regulate and/or control the temperature of substrate holder 150 (or 250) and substrate 152 (or 252).
The vacuum pumping systems 170A, 170B, and 170C can include a turbo-molecular vacuum pump (TMP) capable of pumping up to about 5000 liters per second (or more), and a gate valve for limiting the chamber pressure. In conventional vacuum processing equipment, a 1000 to 3000 liter per second TMP may be employed. TMPs can be used for low pressure processing, typically less than about 50 mTorr. Although not shown, it is understood that the pressure sensor chamber 350 may also include a vacuum pump system. Further, a device for monitoring the chamber pressure (not shown) may be connected to the vacuum vessel 102 or any of the three vacuum chambers 104, 106, 108. The pressure measuring means may be, for example, a capacitance manometer or an ionization. And (6) measuring.
Reference is now made to the figures. Referring to fig. 8, there is shown a portion 300 of a gas cluster ionizer (122, fig. 5,6 and 7) for ionizing the gas cluster jet (gas cluster beam 118, fig. 5,6 and 7). Cross-section 300 is perpendicular to the axis of GCIB 128. For a typical gas cluster size (2000 to 15000 atoms), the clusters will travel with kinetic energy of about 130 to 1000 electron volts (eV) out of the aperture of the separator (120, fig. 5,6 and 7) and into the ionizer (122, fig. 5,6 and 7). At these low energies, any case of space charge neutrality in the ionization chamber 122 will result in rapid dispersion of the jet and significant loss of beam current. Fig. 8 shows a self-neutralizing ionizer. Like other ionizers, gas-clusters are ionized by electron impact. In this design, hot electrons (seven examples denoted by 310) are emitted from a plurality of linear thermionic filaments 302a, 302b and 302c (typically tungsten) and are extracted and focused by the action of an appropriate electric field provided by an electron repeller electrode 306 a. 306b and 306c and beam forming electrodes 304a, 304b and 304 c. The hot electrons 310 pass through the gas-cluster jet and the jet axis and then strike the opposite beam-forming electrode 304b to generate low-energy secondary electrons (312, 314, 316 for example).
Although not shown (for simplicity), the linear thermionic filaments 302b and 302c also generate hot electrons, which in turn generate low-energy secondary electrons. All secondary electrons help to ensure that the ionized cluster jet remains space charge neutral by providing low energy electrons that can be attracted to the positively ionized gas cluster jet as needed to maintain space charge neutrality. Beam forming electrodes 304a, 304b, and 304c are biased positively with respect to linear thermionic filaments 302a, 302b, and 302c, and electron repulsion electrodes 306a, 306b, and 306c are biased negatively with respect to linear thermionic filaments 302a, 302b, and 306 c. 302 c. Insulators 308a, 308b, 308c, 308d, 308e, and 308f electrically insulate and support electrodes 304a, 304b, 304c, 306a, 306b, and 306 c. For example, such self-neutralizing ionizers are effective and can achieve over 1000 microamps of argon GCIB.
Alternatively, the ionizer may use extraction of electrons from the plasma to ionize the clusters. The geometry of these ionizers is very different from the three wire ionizer described here, but the principle of operation and ionizer control are very similar. For example, the design of the ionizer may be similar to the ionizer described in U.S. patent No.5,235,641. U.S. patent No.7,173,252, entitled ION-IZER and method for gas cluster ION formation; the contents of which are incorporated herein by reference in their entirety.
The gas cluster ionizer (122, fig. 5,6 and 7) may be configured to change the beam energy distribution of the GCIB128 by changing the charge state of the GCIB 128. For example, the charge state can be changed by adjusting the electron flux. Electron energy or electron energy distribution of electrons utilized in gas cluster ionization for electron impact.
According to one embodiment, a thin film is formed on a surface of a substrate using a GCIB. For example, GCIBs can be generated using any of the GCIB processing systems (100, 100' or 100 ", or a combination thereof) shown in fig. 5,6, and 7. Thin films and/or deposited thin films.
When growing a thin film, the formation of the thin film may include oxidation, nitridation or oxynitridation of the substrate or a layer on the substrate. In addition, the formation of the thin film may include growing SiO on the substrate or on a layer on the substratex,SiNx,SiCx,SiOxNy,SiOxCyOr SiCxNyAnd (3) a membrane. Additionally, the forming of the thin film may include growing germanide. According to embodiments of the present invention, the pressurized gas mixture may thus comprise an oxygen-containing gas, a nitrogen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a silicon-containing gas or a germanium-containing gas. Or a combination of two or more thereof.
When growing such as SiOxThe substrate comprising silicon or a silicon-containing material may be irradiated by GCIB formed from a pressurized gas mixture with an oxygen-containing gas. For example, the pressurized gas mixture may comprise O2. In another example, the pressurized gas mixture may include O2,NO,NO2,N2O, CO or CO2Or any combination of two or more thereof.
When growing a material such as SiNxMay be irradiated through a GCIB formed from a pressurized gas mixture with a nitrogen-containing gas. For example, the pressurized gas mixture may comprise N2. In another exampleThe pressurized gas mixture may contain N2,NO,NO2,N2O or NH3Or any combination of two or more thereof.
When growing carbides such as SiCx, a substrate comprising silicon or a silicon-containing material may be irradiated by a GCIB formed from a pressurized gas mixture with a carbon-containing gas. For example, the pressurized gas mixture may comprise CH4. In another example, the pressurized gas mixture may include CH4(or more generally, a hydrocarbon gas, i.e. C)xHy) CO or CO2Or any combination of two or more thereof.
When growing such as SiOxNyMay be irradiated by a GCIB formed from a pressurized gas mixture having an oxygen-containing gas and a nitrogen-containing gas. For example, the pressurized gas mixture may contain O2And N2,NO,NO2Or N2O, or any combination of two or more thereof.
When growing such as SiCxNySuch as carbonitride, a substrate comprising silicon or a silicon-containing material may be irradiated with a carbon-containing gas and a nitrogen-containing gas via GCIB formed from a pressurized gas mixture. For example, the pressurized gas mixture may comprise CH4And N2
When growing germanides such as SiGe, a substrate comprising silicon or a silicon-containing material may be irradiated by GCIB formed from a pressurized gas mixture with a germanium-containing gas. For example, the pressurized gas mixture may comprise GeH4Or Ge2H6Or both.
When depositing the thin film, the forming of the thin film may include depositing SiO thereonx,SiNx,SiCx,SiOxNy,SiCxNy,SiOxCy,SiOxCyNz, aC,BNx,BSixNyGe, SiGe (B) or SiC (P) film. A substrate or a layer on a substrate. According to an embodiment of the invention, the pressurized gas mixture may thus comprise an oxygen-containing gasA nitrogen-containing gas, a carbon-containing gas, a boron-containing gas, a silicon-containing gas, a phosphorus-containing gas, a sulfur-containing gas, a hydrogen-containing gas, an arsenic-containing gas, or a germanium-containing gas, or a combination of two or more thereof.
When depositing silicon, the substrate may be irradiated by a GCIB formed from a pressurized gas mixture with a silicon-containing gas. For example, the pressurized gas mixture may comprise Silane (SiH)4). In another example, the pressurized gas mixture may include disilane (Si)2H6) Dichlorosilane (SiH)2Cl2) Trichlorosilane (SiCl)3H) Ethyl disilane (C)4H12Si), trimethylsilane (C)3H10Si), silicon tetrachloride (SiCl)4) Silicon tetrafluoride (SiF)4) Or a combination of two or more thereof.
When depositing a material such as SiNxThe substrate may be irradiated by a GCIB formed from a pressurized gas mixture having a silicon-containing gas and a nitrogen-containing gas. For example, the pressurized gas mixture may comprise Silane (SiH)4) And N2. In another example, the pressurized gas mixture may include N2,NO,NO2,N2O or NH3Or any combination of two or more thereof.
When depositing materials such as SiCxThe substrate may be irradiated by a GCIB formed from a pressurized gas mixture having a silicon-containing gas and a carbon-containing gas. For example, the pressurized gas mixture may comprise Silane (SiH)4) And CH4. Additionally, for example, the pressurized gas mixture may comprise Silane (SiH)4) And methylsilane (H)3C-SiH3). Further, for example, the pressurized gas mixture may include a silicon-containing gas and CH4(or more generally, hydrocarbon gases, i.e. C)xHy) CO or CO2Or any combination of two or more thereof. Further, for example, the pressurized gas mixture may comprise an alkylsilane, an alkenylsilane, or an alkynylsilane, or any combination of two or more thereof. Additionally, for example, the pressurized gas mixture may include silane, methylsilane (H)3C-SiH3) Dimethyl groupSilane (H)3C-SiH2-CH3) Trimethylsilane ((CH)3-SiH) or tetramethylsilane ((CH)3)4-Si), or any combination of two or more thereof. When forming a layer such as SiCxNyThe pressurized gas may further comprise a nitrogen-containing gas. For example, the nitrogen-containing gas may include N2,NH3,NF3,NO, NO2Or N2O, or a combination of two or more thereof. The addition of the nitrogen-containing gas may allow the formation of a silicon carbonitride film (SiCN).
When forming nitrides such as BN, the substrate may be irradiated by a GCIB formed from a pressurized gas mixture having a boron-containing gas and a nitrogen-containing gas. For example, the pressurized gas mixture may comprise diborane (B)2H6) And N2. In another example, the pressurized gas mixture may contain N, NO2,N2O or NH3Or any combination of two or more thereof.
When forming BSi, for examplexNyThe substrate may be irradiated by a GCIB formed from a pressurized gas mixture having a silicon-containing gas, a boron-containing gas, and a nitrogen-containing gas. For example, the pressurized gas mixture may contain Silane (SiH)4) Diborane (B)2H6) And N2. In another example, the pressurized gas mixture may include N2,NO,NO2,N2O or NH3Or any combination of two or more thereof.
In the above examples, the pressurized gas mixture includes an optional inert gas. Optional inert gases include noble gases.
According to one example, by using a catalyst comprising O2The pressurized gas mixture of (a) is irradiated onto a silicon substrate to grow SiO on the silicon substrate2. The thickness of the collected film (in angstroms,
Figure BDA0002693395450000141
) And surface roughness (in angstroms,
Figure BDA0002693395450000142
) And is provided in fig. 2. The data provided in fig. 9. Figure 9 was obtained using a GCIB processing system with a three (3) electrode beam line. For example, a set of suitably biased high voltage electrodes shown in fig. 1 and 2 are set to a high voltage. As shown in fig. 5to 7, a three-electrode arrangement with an extraction electrode (positive bias), a suppression electrode (negative bias) and a ground electrode is included.
The film thickness of the grown film is provided as a function of beam acceleration potential (measured in kV) (i.e., beam energy) and treatment time (measured in minutes) (i.e., beam dose). In each case, the thickness increases with increasing processing time (or beam dose) until saturation is eventually reached. The maximum thickness and the elapsed processing time associated with substantially reaching the maximum thickness depend on the likelihood of acceleration of the ion cluster. As the acceleration of the ion clusters increases, the maximum thickness increases and the time to reach maximum thickness decreases. Conversely, as the acceleration of the ion clusters decreases, the maximum thickness decreases and the time to reach the maximum thickness increases.
In addition, the surface roughness (average roughness, R)a) Depending on the electron beam acceleration potential. As the acceleration of the ion clusters increases, the surface roughness increases. Conversely, as the acceleration of the ion clusters decreases, the surface roughness decreases.
As shown by the data in fig. 2. Referring to fig. 9, when the beam acceleration potential is reduced below about 5kV, an ultra-thin film of moderate surface roughness may be obtained. For example, when the beam acceleration potential is about 3kV or less, a beam having a potential equal to or less than that of the beam acceleration can be obtained
Figure BDA0002693395450000151
Less than surface roughness of
Figure BDA0002693395450000152
And (3) a membrane. However, a higher ion cluster acceleration potential may be used.
Furthermore, for a given film thickness, the surface roughness can be reduced by modifying the beam energy distribution function. Removing deviceA few data sets, each acquired using the GCIB processing system, did not modify the beam energy distribution function, e.g., no pressure vessel had an increased pressure region through which the GCIB passed. In exceptional cases, the beam energy distribution function of the GCIB is modified by directing the GCIB along a GCIB path along an increased pressure. In one case, the path length (d) of the pressure cell is set to
Figure BDA0002693395450000158
And the pressure in the pressure cell is raised by introducing background gas. For example, in one case, the background gas is introduced into the pressure sensor at a flow rate of 15sccm (standard cubic centimeters per minute) ("15P") (or a pressure distance integral of about 0.002torr-cm) or in another case, the background gas is introduced into the pressure sensor at a flow rate of 40sccm ("40P") (or a pressure distance integral of about 0.005 torr-cm).
As shown in fig. 9, modification of the beam energy distribution function can be used to reduce surface roughness while maintaining approximately the same film thickness (by increasing the beam acceleration potential). For example, when the beam acceleration is increased to 60kV and the pressure in the pressure sensor is set to "40P", the resulting film thickness as a function of processing time almost coincides with the use of a pressure cell with the film thickness measured at 3kV beam acceleration potential. However, by using a pressure cell, the surface roughness is from about
Figure BDA0002693395450000153
Is reduced to about
Figure BDA0002693395450000154
According to another example, by using a catalyst comprising O2The pressurized gas mixture of (a) and (b) irradiating the silicon substrate with a GCIB solution to grow SiO on the silicon substrate2. The thickness of the collected film (expressed in angstroms,
Figure BDA0002693395450000155
) And surface roughness (in angstroms)
Figure BDA0002693395450000156
) And is provided in fig. 2. Data provided in FIG. 10 is similar to FIG. 9; however, data was obtained using a GCIB processing system with five (5) electrode beamlines. For example, a set of suitably biased hv electrodes is similar to the electrode system shown in fig. 5to 7.
As shown in fig. 10, the thickness increases with processing time (or beam dose) until final saturation. The maximum thickness and the elapsed processing time associated with substantially reaching the maximum thickness depend on the likelihood of acceleration of the ion cluster. In addition, the surface roughness (average roughness, R)a) Depending on the electron beam acceleration potential. As the ion cluster acceleration potential increases, the surface roughness increases. Conversely, as the electron beam acceleration potential decreases, the surface roughness decreases.
As shown in fig. 2, referring to fig. 10, modification of the beam energy distribution function can be used to reduce surface roughness while maintaining approximately the same film thickness (by increasing the beam acceleration potential). For example, when the beam acceleration is increased to 60kV and the pressure in the pressure sensor is set to "40P", a thickness of less than about 50A and a surface roughness of about
Figure BDA0002693395450000157
An ultrathin film of (2).
According to other examples, the SiH is contained by4And CH4Or N2Irradiating the substrate with a GCIB of a pressurized gas mixture of (1) and (2) SiCxAnd SiN is deposited on the substrate. Film thicknesses can be collected and presented in a manner similar to that provided in figure 11 (in angstroms,
Figure BDA0002693395450000161
) And surface roughness (in angstroms,
Figure BDA0002693395450000162
). Data can be obtained using a GCIB processing system with a three (3) electrode or five (5) electrode beam line. For example, a set of suitably biased hv electrodes is similar to the electrode system shown in fig. 5-7.
Typically, as shown in fig. 11, the thickness will increase depending on the processing time (or beam dose). The deposition rate (or slope) depends on the electron beam acceleration potential. In addition, the surface roughness (average roughness, R)a) Depending on the potential for ion cluster acceleration. As the acceleration of the ion clusters increases, the surface roughness will increase. Conversely, as the acceleration of the ion clusters decreases, the surface roughness will decrease. As shown in fig. 11, when the beam acceleration potential is lowered to a lower level, an ultra-thin film of moderate surface roughness can be obtained.
Referring to fig. 12, the distribution of cluster sizes in the GCIB is provided as a function of the total pressure at the inlet of the nozzles (e.g., nozzles 110 of fig. 5-7) in the GCIB processing system. The cluster size distribution of GCIB for Ar was measured using the battle time method. Where the cluster number is recorded as a function of mass, which is related to the atomic number of each cluster. As shown in fig. 12, the cluster size of the peaks in each distribution increases with increasing total pressure.
A method of forming a thin film on a substrate using GCIB according to an embodiment. The method includes providing a substrate in a reduced pressure environment. The substrate may be placed in a GCIB processing system. The substrate may be placed on the substrate holder and may be securely held by the substrate holder. The temperature of the substrate may or may not be controlled. For example, the substrate may be heated or cooled during film formation. The environment around the substrate is kept under reduced pressure. GCIB was generated in a reduced pressure environment. GCIB can be generated from a pressurized gas mixture with film-forming ingredients and optionally an inert gas. However, as noted above, other gases or gas mixtures may be used.
The beam acceleration potential and beam dose are selected. The beam acceleration potential and beam dose can be selected to achieve a film thickness of about
Figure BDA0002693395450000163
And the surface roughness of the upper surface of the film is less than about
Figure BDA0002693395450000164
The beam accelerating potential and the beam can be selectedDosage to achieve a film thickness of about
Figure BDA0002693395450000165
And the surface roughness of the upper surface of the film is less than about
Figure BDA0002693395450000166
The beam acceleration potential and beam dose can be selected to achieve a film thickness of about
Figure BDA0002693395450000167
And the surface roughness of the upper surface of the film is less than about
Figure BDA0002693395450000168
The GCIB processing system can be any of the GCIB processing systems (100, 100', or 100 ") described in fig. 5,6, or 7 above, or any combination thereof. The substrate may comprise a conductive material, a non-conductive material. In addition, the substrate may include one or more material structures formed thereon, or the substrate may be a blanket substrate without material structures.
For example, the range of beam acceleration potentials may be up to 100kV, and the range of beam doses may be up to per cm2About 1X1016And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 50kV, and the range of beam doses may be up to per cm2About 1X1016And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 25kV, and the range of beam doses may be up to per cm2About 1X1016And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 10kV, and the range of beam dose may be up to per cm2About 1X1016And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 5kV, and the range of beam dose may be up to per cm2About 1X1016And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 5kV, and the range of beam dose may be up to per cm2About 8X 1013And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 4kV, and the range of beam doses may be up to per cm2About 1X104And (4) clustering. Alternatively, the range of beam acceleration potentials may be up to 3kV, and the range of beam doses may be up to about 2x10 per centimeter14And (4) clustering. Alternatively, the range of beam acceleration potential may be up to 2kV, and the range of beam dose may be up to about 1x10 per square centimeter15And (4) clustering.
As another example, when modifying the beam energy distribution (e.g., using a pressure cell with a pressure-distance integration of about 0.002torr-cm or about 0.005torr-cm), the beam acceleration potential can range up to 100kV, and the ion cluster dose can range up to 1x10 per square centimeter16And (4) clustering. Alternatively, when modifying the beam energy distribution (e.g., using a pressure cell integrated at a pressure distance of about 0.002torr-cm), the range of beam acceleration potentials can be up to 45kV, and the range of beam dose can be up to 1x10 per square centimeter14And (4) clustering. Alternatively, when changing the beam energy distribution (e.g., using a pressure cell with a pressure-distance integral of about 0.005torr-cm), the beam acceleration potential can range up to 45kV, and the beam dose can range up to 1.3x10 per square centimeter14And (4) clustering.
As yet another example, GCIB can be established for the energy of each cluster atom (i.e., eV/cluster atom) in the range of about 0.5 eV/cluster atom to about 10 eV/cluster atom. Alternatively, the energy of each cluster atom can range from about 1 eV/cluster atom to about 10 eV/cluster atom. Alternatively, the energy of each cluster atom can range from about 0.5eV per cluster atom to about 1eV per cluster atom. For example, the energy ratio of cluster atoms can be varied by varying the total pressure at the nozzle inlet in the GCIB processing system to adjust cluster size (e.g., the number of atoms per cluster), or to vary beam acceleration. The potential to adjust the beam energy, or both.
GCIB is accelerated according to ion cluster acceleration potential. Optionally, as described above, the beam energy may be modified. In one embodiment, the beam energy distribution function of the GCIB is modified by directing the GCIB along a GCIB path along an increasing pressure such that at least a portion of the GCIB traverses an increased pressure region. The degree of change in beam energy distribution can be characterized by the pressure-distance (d) integral of that portion along the GCIB path. As the value of the pressure-distance integral increases (by increasing the pressure and/or path length (d)), the beam energy distribution widens and the peak energy decreases. As the value of the pressure-distance integral decreases (by decreasing the pressure and/or path length (d)), the beam energy distribution narrows and the peak energy increases. As an example, the beam energy distribution may be widened to reduce the surface roughness of the thin film, or the beam energy distribution may be narrowed to increase the surface roughness of the thin film. At 450, the accelerated GCIB is irradiated onto at least a portion of the substrate according to a beam dose. At 460, a thin film is formed on the illuminated portion of the substrate.
As described above, pre-treatment processes and/or post-treatment processes may be performed to adjust one or more film properties, including but not limited to film thickness, film roughness, film adhesion, film composition, and the like. "Pre-treatment process and/or post-treatment process may include exposure to an ion source, a GCIB source, a cluster beam of ions, an electron source, a plasma source, a microwave radiation source, a heat source, electromagnetic (EM, for example, a pre-treatment process may include exposing a substrate or layer to be formed into an ultra-thin film to another GCIB, exposing a substrate or layer to a Slot Plane Antenna (SPA) plasma, or exposing a substrate or layer to Electromagnetic (EM) radiation, or any combination of two or more thereof. Or any combination of two or more thereof. Exposure to another GCIB can include performing an inert GCIB process after the GCIB growth and/or deposition process forms the ultra-thin film, for example, to reduce the film roughness of the ultra-thin film.
Another example of a method of fabricating an interconnect structure according to an embodiment. Forming an ultrathin film on the metal line using GCIB, wherein the ultrathin film has a thickness of less than about 5 nm. Thereafter, an interlayer dielectric layer is formed over the ultrathin film, and a pattern is etched into the interlayer dielectric layer while stopping on the ultrathin film. The method may further include forming one or more thin films between the ultrathin film and the interlevel dielectric layer, wherein at least one of the one or more thin films includes a dielectric layer having a dielectric constant with a value less than a dielectric constant associated with the ultrathin film and greater than or equal to a dielectric constant associated with the interlevel dielectric layer. A similar structure showing this embodiment of the method is depicted in fig. 3.
Referring to fig. 13A through 13D, a method of fabricating an interconnect structure according to another embodiment is illustrated. The method includes forming an interlayer dielectric layer 612, and depositing an ultra-thin film 622 on the interlayer dielectric layer 612 using GCIB, as shown in fig. 13A. Thereafter, in 630, features 632, such as trenches or vias, or both, are etched into the interlayer dielectric layer, as shown in fig. 6, 13B. In 640, the feature 632 is metalized, meaning that the feature 632 is filled with metal 642 and the metal 642 is further deposited over the feature 632 (i.e., the feature is overfilled) and on the field region 634 around the feature 632. As shown in fig. 13C. The metalized trenches, or vias, or both, are polished until the ultra-thin film 622 is reached, i.e., the metal 642 is removed from the field regions 634 and from over the features 632, as shown in fig. 6. 13D, the method can further include forming one or more thin films on the ultra-thin film, wherein at least one of the one or more thin films includes a dielectric layer having a dielectric constant with a value less than a dielectric constant associated with the ultra-thin film. The thin film 622 is greater than or equal to a dielectric constant associated with the interlayer dielectric layer 612.
A method of making an interconnect structure according to another embodiment. Fig. 13A-13E also generally illustrate this embodiment, with fig. 13E illustrating the final structure. A Chemical Mechanical Polishing (CMP) stop layer 722 is formed over the inter-level dielectric layer. Thus, for example, as described above with respect to layer 72 in FIG. 4, CMP stop layer 722 is formed instead of ultrathin film 622.
Features 632, such as trenches or vias or both, are etched into the interlayer dielectric layer and the features 632 are metallized, such as with a fill metal 642.
The metallized features are polished until the CMP stop layer is reached without overpolishing the metallized features, i.e., without polishing below the level of field regions 634.
An ultrathin film 762 is formed over the polished metallization feature using GCIB, wherein the ultrathin film 762 has a thickness of less than about 5 nm. Refer to fig. 14 for a schematic illustration. Referring to fig. 14A-14F, a method of making an interconnect structure is shown according to another embodiment. The method includes forming a first ultrathin film 812 over the metal line 802 using a first GCIB, as shown in fig. 14A.
As shown in fig. 14B, a first interlayer dielectric layer 822 is formed over the first ultrathin film 812. The method may further include forming one or more thin films between the first ultrathin film 812 and the first interlayer dielectric layer 822. The one or more thin films may include a dielectric layer having a smaller value of its dielectric constant. The dielectric constant associated with the first ultrathin film 812 is greater than or equal to the dielectric constant associated with the first interlayer dielectric layer 822.
A second ultrathin film 832 is formed over the first inter-level dielectric layer 822 using a second GCIB, as shown in fig. 8, 14C.
A second inter-layer dielectric layer 842 is formed on the second ultrathin film 832, as shown in fig. 14D, and the method may further include forming one or more films between the second ultrathin film 832 and the second inter-layer dielectric layer 842. The one or more films may include a dielectric layer having a dielectric constant value that is less than the dielectric constant associated with the second ultrathin film 832 and greater than or equal to the dielectric constant associated with the second inter-level dielectric layer 842.
As shown in fig. 8, via 852 is etched into first interlayer dielectric layer 822 while stopping on first ultrathin film 812. Referring to FIG. 14E, and at 860, a trench 862 is etched into the second inter-layer dielectric layer 842 while stopping on the second ultrathin film 832, as shown in FIG. 14F.

Claims (10)

1. A method for forming a thin film in vacuum by a gas cluster ion beam is characterized in that a substrate is provided in a reduced pressure environment; generating the at least one GCIB from a pressurized gas mixture; selecting an ion cluster acceleration potential and an ion cluster dose to achieve said thickness of less than 5 nm; irradiating the accelerated at least one GCIB onto the at least a portion of the substrate according to the ion cluster dose; forming the ultra-thin film on the at least a portion of the substrate to the thickness; forming a thin film on at least a portion of a substrate using at least one Gas Cluster Ion Beam (GCIB), wherein the ultra-thin film has a thickness of less than 5nm, and wherein the forming comprises (a) optionally growing a hybrid sublayer in a surface portion of the substrate by mixing at least one atomic component in the substrate with at least one film-forming atomic component in the at least one GCIB, and (b) depositing one or more film-forming atoms from components of the at least one GCIB on the surface portion of the base to form a deposited layer, wherein the hybrid sublayer and the deposited layer together form an ultra-thin film. The pressurized gas mixture may generate the ion cluster beam comprising an oxygen-containing gas, a nitrogen-containing gas, a carbon-containing gas, a hydrogen-containing gas, a silicon-containing gas, a phosphorus-containing gas, a boron-containing gas, an arsenic-containing gas, a sulfur-containing gas, or a germanium gas, or a combination of two or more thereof. Generating the GCIB from a pressurized gas mixture in a reduced pressure environment on the substrate;
selecting an ion cluster acceleration potential and an ion cluster dose to achieve said thickness of less than 5 nm;
accelerating the GCB according to the ion cluster acceleration potential;
or according to the beam dose, irradiating the accelerated GCIB onto an interlayer dielectric layer on the substrate;
depositing the ultra-thin film to the thickness.
2. The method of claim 1, wherein the ion cluster beam acceleration potential and ion cluster beam dose are selected to achieve a surface roughness of less than about the upper surface of the ultra-thin film
Figure FDA0002693395440000011
Ion cluster dose of (a); varying ion cluster beam energy distribution toVarying the thickness or surface roughness or both.
3. The ion cluster beam acceleration potential is selected to be less than about 50kV, or less than about 5 kV.
4. The step of modifying the beam energy distribution comprises: directing the at least one GCIB along a GCIB pathway through an increased pressure region such that at least a portion of the GCIB pathway traverses the increased pressure region.
5. Pre-treating the substrate; or post-treating the ultra-thin film to change the properties of the ultra-thin film; or both the base material is pretreated and the ultrathin film is subjected to post-treatment. Including the post-treatment or both the pre-treatment and the post-treatment, and wherein the post-treatment includes exposing the ultra-thin film to another GCIB, annealing the ultra-thin film, exposing the ultra-thin film to a Slot Plane Antenna (SPA) plasma, exposing the ultra-thin film to Electromagnetic (EM) radiation, exposing the ultra-thin film to ion cluster beams. Connecting a thin hermetic thin film to an ion source, or exposing the ultra thin hermetic thin film to an electron source, or any combination of two or more thereof.
6. Generating the at least one GCIB having an energy per cluster atom of from about 1eV per cluster atom to about 10eV per cluster atom.
7. The ultra-thin film is formed on a metal line, the method further comprising: forming an interlayer dielectric layer over the ultra-thin film; a pattern is etched into the interlevel dielectric layer and stops on the ultra-thin film, forming an interconnect structure. Forming an interlayer dielectric layer before said forming said ultra-thin film. Depositing the ultra-thin film on the interlayer dielectric layer using the at least one GCIB; etching a trench or a via or both into the interlevel dielectric layer; metallizing the trench or via, or both, simultaneously; polishing the metallization trench, or via, or both, until the ultra-thin film is reached, thereby forming an interconnect structure.
8. Further comprising, prior to said forming said ultra-thin film: forming an interlayer dielectric layer; forming a Chemical Mechanical Polishing (CMP) stop layer on the interlayer dielectric layer; etching trenches or vias or both after metallization, and Chemical Mechanical Polishing (CMP) between layers to etch the metallization trenches or vias or both until the CMP stop layer is reached without over-polishing the metallization trenches or vias or both; wherein the ultra-thin film is formed over the polished, metallized trench or via or both using the at least one GCIB, thereby forming an interconnect structure.
9. The forming the ultra-thin film includes forming a first ultra-thin film on a metal line using a first GCIB, the method further including:
forming a first interlayer dielectric layer over the first ultra-thin film;
forming a second ultra-thin film on the first interlayer dielectric layer using a second GCIB;
forming a second interlayer dielectric layer on the second ultra-thin film;
etching a via into the first interlayer dielectric layer and stopping on the first ultra-thin film;
etching a trench in the second interlevel dielectric layer and stopping on the second ultra-thin film to form an interconnect structure, wherein each of the first and second ultra-thin films has a thickness of less than 5 nm.
10. The step of forming the hybrid sub-layer comprises using a first GCIB, and the depositing comprises using a second GCIB.
A method of making a film comprising:
forming an interlayer dielectric layer on at least a portion of the substrate;
depositing an ultra-thin film on the interlayer dielectric layer using a Gas Cluster Ion Beam (GCIB), wherein the ultra-thin film has a thickness of less than 5 nm;
etching a trench or a via or both into the interlevel dielectric layer;
metallizing the trench or via, or both, simultaneously; polishing the metallization trench, or via, or both, until the ultra-thin film is reached, thereby forming an interconnect structure.
CN202010998454.8A 2020-09-21 2020-09-21 Method for forming film by gas cluster ion beam in vacuum Withdrawn CN112176305A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010998454.8A CN112176305A (en) 2020-09-21 2020-09-21 Method for forming film by gas cluster ion beam in vacuum

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010998454.8A CN112176305A (en) 2020-09-21 2020-09-21 Method for forming film by gas cluster ion beam in vacuum

Publications (1)

Publication Number Publication Date
CN112176305A true CN112176305A (en) 2021-01-05

Family

ID=73955990

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010998454.8A Withdrawn CN112176305A (en) 2020-09-21 2020-09-21 Method for forming film by gas cluster ion beam in vacuum

Country Status (1)

Country Link
CN (1) CN112176305A (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010101688A1 (en) * 2009-03-06 2010-09-10 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010101688A1 (en) * 2009-03-06 2010-09-10 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing

Similar Documents

Publication Publication Date Title
US8226835B2 (en) Ultra-thin film formation using gas cluster ion beam processing
US8981322B2 (en) Multiple nozzle gas cluster ion beam system
US8097860B2 (en) Multiple nozzle gas cluster ion beam processing system and method of operating
US8173980B2 (en) Gas cluster ion beam system with cleaning apparatus
US7794798B2 (en) Method for depositing films using gas cluster ion beam processing
US8048788B2 (en) Method for treating non-planar structures using gas cluster ion beam processing
US8237136B2 (en) Method and system for tilting a substrate during gas cluster ion beam processing
US8338806B2 (en) Gas cluster ion beam system with rapid gas switching apparatus
US6207282B1 (en) Substrate surface treatment method
US20100200774A1 (en) Multi-sequence film deposition and growth using gas cluster ion beam processing
US8372489B2 (en) Method for directional deposition using a gas cluster ion beam
WO2010117551A1 (en) Method for modifying a material layer using gas cluster ion beam processing
US9540725B2 (en) Method and apparatus for beam deflection in a gas cluster ion beam system
US20110084214A1 (en) Gas cluster ion beam processing method for preparing an isolation layer in non-planar gate structures
KR20030033879A (en) Ultra surface smoothing device of ito thin film and method thereof using gas cluster ion beam
KR101640266B1 (en) Method for growing a thin film using a gas cluster ion beam
CN112176305A (en) Method for forming film by gas cluster ion beam in vacuum
US20090233004A1 (en) Method and system for depositing silicon carbide film using a gas cluster ion beam
CN112490127A (en) Method for correcting etching rate of material layer by using charged particles
TWI416577B (en) Method of irradiating substrate with gas cluster ion beam formed from multiple gas nozzles
CN112176304A (en) Method for growing film by gas cluster ion beam
CN112466738A (en) Multi-nozzle gas cluster ion beam processing system and operation method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WW01 Invention patent application withdrawn after publication
WW01 Invention patent application withdrawn after publication

Application publication date: 20210105