CN112052543A - Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming - Google Patents

Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming Download PDF

Info

Publication number
CN112052543A
CN112052543A CN202010804006.XA CN202010804006A CN112052543A CN 112052543 A CN112052543 A CN 112052543A CN 202010804006 A CN202010804006 A CN 202010804006A CN 112052543 A CN112052543 A CN 112052543A
Authority
CN
China
Prior art keywords
power
line
node
constraints
net rack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010804006.XA
Other languages
Chinese (zh)
Other versions
CN112052543B (en
Inventor
李佩杰
郝志方
何远健
徐莉菲
李滨
韦化
陈碧云
祝云
白晓清
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangxi University
Original Assignee
Guangxi University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangxi University filed Critical Guangxi University
Priority to CN202010804006.XA priority Critical patent/CN112052543B/en
Publication of CN112052543A publication Critical patent/CN112052543A/en
Application granted granted Critical
Publication of CN112052543B publication Critical patent/CN112052543B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/18Network design, e.g. design based on topological or interconnect aspects of utility systems, piping, heating ventilation air conditioning [HVAC] or cabling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/04Power grid distribution networks

Abstract

The invention discloses a method for searching and modeling a bottom-preserving net rack based on mixed integer second-order cone programming. The method aims to solve the problems of low calculation speed, uncertain result and limitation of the algorithm adopted in the prior art; the invention comprises the following steps: s1: collecting information of a power transmission network to be planned, forming an initial power network topological graph, and establishing a multi-objective optimization function; s2: establishing node active power and reactive power balance constraints based on a power flow equation of the power system; s3: establishing model inequality constraints including line voltage constraints, system power flow constraints, connectivity constraints, unit output constraints and line power constraints; s4, performing second-order cone optimization relaxation on the model, and converting the mixed integer nonlinear programming model into a mixed integer second-order cone model; s5: and solving the model to obtain a planning scheme. The mixed integer second-order cone programming is adopted, so that the solving efficiency is high, the robustness is good, and the result is determined. The speed and the accuracy of searching the bottom-protecting net rack are improved.

Description

Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming
Technical Field
The invention relates to the field of bottom-protecting net rack planning, in particular to a bottom-protecting net rack searching and modeling method based on mixed integer second-order cone planning.
Background
At the present stage, China is focusing on changing an economic growth mode, optimizing an economic structure, promoting high-quality development of economy, insisting on a green low-carbon development road, and accelerating ecological civilization construction and energy revolution. Reliable power supply has become the most important material base for ensuring the continuous development of economic society, and the importance of power safety is increasingly highlighted. In recent years, the frequency and degree of natural disasters caused by climate change caused by global warming are in an increasing trend, a series of disastrous major power failure accidents are caused to domestic and foreign electric power systems, and how to ensure the safety of a power grid in extreme weather is more and more concerned by electric power system practitioners.
In general, a large-scale power failure accident is caused by a fault caused by internal or external factors, so that some fragile lines or important nodes in a power grid are withdrawn from operation, large-scale power flow transfer is caused, linkage faults are further caused, and the large-scale power failure accident is finally caused. It is the most direct and effective method for disaster prevention to improve the design standard from the perspective of a primary system to avoid faults, but it is neither economical nor necessary to improve the design and construction standards of the power grid comprehensively. Therefore, many scholars propose to carry out differentiated power grid planning, build and establish a power grid in a targeted manner, and use the power grid as a basis for allocating the essential protection of partial transformer substations, lines and power supplies by manpower and material resources when the extreme natural disasters are faced, namely, a scheme of constructing a bottom-protecting grid frame is adopted to improve the temporary reliability of the power grid when the disasters happen. The method has important significance for enhancing the operation stability of the power system, reducing the secondary investment of rush repair and reconstruction of the power grid due to natural disasters and ensuring the safe and reliable operation of the power grid under serious natural disasters.
The method for searching the bottom-protecting net rack relates to a complex network theory and large-scale power system dynamic research. In the existing method for searching and modeling the bottom-preserving net rack, a mixed integer nonlinear programming model is built based on traditional power flow constraints. Because no mature solver can solve the mixed integer nonlinear programming problem at present, researchers mostly use an artificial intelligence algorithm to solve the problem in research. The artificial intelligence method needs to search for many times and results are uncertain due to the limitation of the artificial intelligence method, and the requirement of high-speed accurate solution of a modern power system cannot be met. Therefore, the effective and quick method for searching the bottom-protecting net rack is of great significance to stable operation and post-disaster reconstruction of the power system.
The construction of the bottom-preserving net rack is a multivariable, nonlinear and multi-constraint combined optimization problem, and in recent years, most of the solutions to the problem adopt artificial intelligence algorithms. "core backbone network frame construction based on improved BBO optimization algorithm and power grid survivability [ J ]. report on electrical engineering of china, 2014, 34 (16): 2659-: 1-5 both articles propose to search the backbone net frame using an improved biophysical optimization algorithm with strong search power. "search for core backbone network frame based on improved binary quantum particle swarm algorithm [ J ]. chinese electro-mechanical engineering press, 2014, 34 (34): 6127-. The backbone net rack searching method is used for searching the backbone net rack by adopting a guided firework algorithm in the study of [ D ]. Nanchang university, 2018 ]. "network reconfiguration comprehensively considering node importance and number of lines [ J ] power system automation, 2010, 34 (12): 29-33. once a word searches for the backbone net frame using the discrete particle swarm algorithm (DPSO). The construction and evaluation method of the power grid differentiation core backbone net rack researches a university of Wuhan [ D ]. 2017 ], and the backbone net rack is searched by adopting an improved quantum-behaved particle swarm algorithm.
The methods adopt artificial intelligent algorithm to solve, and the problems of low calculation speed, uncertain result, easy falling into local optimum and the like generally exist. The method has great limitation in solving the problem of searching the bottom-preserving net rack and needs to be improved.
Disclosure of Invention
The invention mainly solves the problems that the prior art adopts an artificial intelligence algorithm to solve, has low calculation speed, uncertain result and easy falling into local optimum, and has great limitation in solving the problem of searching the bottom-preserving net rack; the method for searching and modeling the bottom-preserving net rack based on the mixed integer second-order cone programming is provided, the problem of searching the bottom-preserving net rack under different requirements is solved, the calculation speed is high, the robustness is good, the flexibility is high, the expansibility is strong, and the efficiency of searching the bottom-preserving net rack is effectively improved.
The technical problem of the invention is mainly solved by the following technical scheme:
the invention comprises the following steps:
s1: collecting information of a power transmission network to be planned, forming an initial power network topological graph, and establishing a multi-objective optimization function considering minimum number of bottom-protected network frame lines and highest line importance degree;
s2: determining a guaranteed power supply, an important load node and an important site, and establishing node active power and reactive power balance constraint based on a power flow equation of a power system;
s3: establishing model inequality constraints including line voltage constraints, system power flow constraints, connectivity constraints, unit output constraints and line power constraints;
s4: performing second-order cone optimization (SOCP) relaxation on the model, and converting the mixed integer nonlinear programming model into a mixed integer second-order cone model;
s5: and solving the model to obtain a planning scheme.
The bottom-preserving net rack is searched by using the hybrid second-order cone programming model established by the scheme, so that the problems of low efficiency and high uncertainty in solving nonlinear problems caused by the traditional algorithm are effectively avoided, and meanwhile, the solved result is more in line with the actual operation condition. The mixed integer second-order cone programming model can be effectively solved by using the existing mature algorithm, the calculation speed is high, the robustness is good, the flexibility is high, the expansibility is strong, and the searching efficiency of the bottom-preserving net rack is effectively improved.
Preferably, the multi-objective optimization function is
Figure BDA0002627578990000021
Wherein omegaiIs a line set in the system; y isijFor the operational state of the lines i-j,
Figure BDA00026275789900000311
0 is quit and 1 is put into operation; omega is the weight of the important degree of the line in the multi-objective optimization function; fijAnd the power flow betweenness is obtained after line normalization.
The larger the flow betweenness is, the higher the importance degree of the line is, and a multi-objective optimization function which considers the minimum number of the bottom-protecting network frame lines and the highest importance degree of the line is established.
Preferably, the line voltage constraints include a voltage offset constraint and a voltage phase angle constraint, and the voltage offset is less than or equal to +/-10% for a certain node; for a certain section of line, the difference value of the voltage phase angles of the nodes at the first end and the last end is less than or equal to 10 degrees; the voltage offset constraint is:
Figure BDA0002627578990000031
wherein, wiIs the product of two voltage values, i.e. wi=|vi|2
Figure BDA0002627578990000032
And
Figure BDA0002627578990000033
respectively is the lower limit and the upper limit of the voltage value of the node i, and N is the set of all nodes in the net rack;
the voltage phase angle constraint is:
Figure BDA0002627578990000034
Figure BDA0002627578990000035
in the formula (I), the compound is shown in the specification,
Figure BDA0002627578990000036
wherein r isijAnd xijThe resistance and reactance values of the paths i-j, i, j ∈ Ωi,θΔIs the upper limit value of the phase angle difference value of the voltage at the head and tail end points of the line, M is a constant, pij,qijRespectively representing the values of the active and reactive power of the lines i-j flowing from node i to node j,
Figure BDA0002627578990000037
and
Figure BDA0002627578990000038
respectively susceptance and conductance, t, of the charging capacitors in the lines i-jijTo take into account the characteristics of the transformers I-j of the phase shifter, R, I are used to identify the real and imaginary parts of the complex number.
In order to ensure the requirement of each node in the bottom-protecting net rack on normal working voltage, the voltage deviation of a certain node is ensured to be not more than +/-10%, and the voltage phase angle difference value of the nodes at the first end and the last end of a section of line is generally not more than 10 degrees. The constraint ensures that the difference value of the phase angles of the voltages at the head end and the tail end of the line is in a fixed range, and meets the operation requirement of a power grid under a specific condition.
Preferably, the system power flow constraints include line power loss constraints and line voltage drop constraints;
according to the trend formula
Figure BDA0002627578990000039
It can be seen that the power loss on lines i-j is
Figure BDA00026275789900000310
In the formula, SijApparent power, S, flowing from node i to node j for lines i-jjiApparent power, Y, flowing from node j to node i for line j-iijIs the admittance value, V, of the line i-jiAnd VjVoltage values of node i and node j, respectively, (.)*A conjugate value representing a numerical value; and further deducing, respectively establishing second-order cone constraints of active power and reactive power of the line i-j:
Figure BDA0002627578990000041
Figure BDA0002627578990000042
Figure BDA0002627578990000043
Figure BDA0002627578990000044
according to the trend formula
Figure BDA0002627578990000045
It can be seen that the power loss on lines i-j is
Figure BDA0002627578990000046
Further derivation, establishing a second order cone constraint for the i-j voltage drop of the line:
Figure BDA0002627578990000047
Figure BDA0002627578990000048
wherein i, j belongs to E, and E is a set of all lines in the system; lijIs the square of the value of the current on lines i-j.
The factors such as active power and reactive power are considered, the factors are considered comprehensively, and the defects that when a mathematical programming method is used for searching the bottom-protecting net frame, the solving difficulty is high, only the active power can be considered, the reactive power check needs to be carried out and the like are effectively improved.
Preferably, the connectivity constraint is:
Figure BDA0002627578990000049
Figure BDA00026275789900000410
Figure BDA00026275789900000411
x(E(S))=y(S)-1
Figure BDA0002627578990000051
(x,y,z,a)∈{0,1}
wherein J is the set of load nodes in the power system, I is the set of nodes left by removing the load nodes in the power system, S is the set of all nodes in the power system, aijIndicating whether the line connected to node j remains in the net rack, ZiIndicating whether node i remains in the net rack, yiRepresenting nodesi is required to be retained in a net frame, xeWhether the line e is reserved in the bottom-retaining net rack or not is shown, x, y, z and a are all variables of 0 or 1, 0 is taken to indicate that the line is not reserved, and 1 is taken to indicate that the line is reserved; e (S) is a set of bidirectional lines in the virtual network, and H is a set of the node S and nodes adjacent to the node S.
The first constraint ensures that at least one line must be connected to the load node. The second constraint ensures that a line i-i can only be selected if node i is retained in the net bottom rack. The third constraint ensures that node i is not selected to be the net-bottom rack if node i is required to be discarded before computation. The fourth constraint and the fifth constraint ensure that the formed topology map of the bottom-protecting net rack is a tree, thereby avoiding the occurrence of looped network and ensuring the connectivity of the bottom-protecting net rack.
Preferably, the unit output constraint is as follows:
Figure BDA0002627578990000052
Figure BDA0002627578990000053
wherein u isiE {0, 1} represents the starting and stopping conditions of the unit, 0 represents the shutdown of the unit, and 1 represents the start of the unit;
Figure BDA0002627578990000054
in order to have an active power output,
Figure BDA0002627578990000055
respectively representing the lower limit and the upper limit of the active output of the generator;
Figure BDA0002627578990000056
in order to have no power output,
Figure BDA0002627578990000057
respectively representing the lower limit and the upper limit of the active output of the generator。
The processing constraints of the motor set comprise active power output and reactive power output, the calculation is more comprehensive, and the defects that when a mathematical programming method is used for searching the bottom-protecting net rack, the solving difficulty is high, only the active power can be considered, the reactive power check needs to be carried out and the like are effectively improved.
Preferably, the line power constraint is:
-yijM≤pij≤yijM
-yijM≤qij≤yijM
-yijM≤lij≤yijM
when no line i-j is selected, pij、qijAnd lijAre all 0.
The consideration is more comprehensive.
Preferably, the second-order cone optimized relaxation is as follows:
Figure BDA0002627578990000058
wherein lijIs the square of the i-j current value of the line, wiIs the product of the voltage values at node i.
And converting the mixed integer nonlinear programming model into a mixed integer second-order cone model through second-order cone optimization (SOCP) relaxation.
Preferably, the step S5 includes solving the mixed integer second order cone planning and bottom-preserving net rack search model established in the present invention by using a CPLEX solver, and analyzing the search result. The method for solving the mixed integer second-order cone programming problem by using the CPLEX algorithm is mature in technology, and is used for processing the problem of searching the bottom-preserving net rack under different requirements based on the model provided by the invention, so that the method is high in calculation speed, good in robustness, high in flexibility and strong in expansibility, and the efficiency of searching the bottom-preserving net rack is effectively improved.
The invention has the beneficial effects that:
1. the method has comprehensive consideration factors, and effectively overcomes the defects that when a mathematical programming method is used for searching the bottom-protecting net rack, the solving difficulty is high, only active power can be considered, reactive power check needs to be carried out, and the like.
2. Through mixed integer second order cone planning, compare in artificial intelligence algorithm, this scheme solves efficient, the robustness is good and the result is confirmed.
3. The mixed integer second-order cone programming model can be effectively solved by utilizing the existing mature algorithm, and the speed and the accuracy of searching the bottom-preserving net rack are improved.
Drawings
FIG. 1 is a flow chart of a search modeling of a net rack with a guaranteed footprint according to the present invention.
FIG. 2 is a system connectivity topology diagram of IEEE14 nodes in an embodiment of the invention;
Detailed Description
The technical scheme of the invention is further specifically described by the following embodiments and the accompanying drawings.
Example (b):
in this embodiment, as shown in fig. 1, a method for searching and modeling a bottom-preserving net rack based on mixed integer second-order cone programming includes the following steps:
s1: collecting information of a power transmission network to be planned, forming an initial power grid topological graph, and establishing a multi-objective optimization function considering minimum number of bottom-protected network frame lines and highest line importance degree.
Collecting information with a planned grid includes determining load nodes, load capacity, power nodes, power output limits, line capacity, and network node topology connections in the network. And forming an initial power grid topological graph. In the present embodiment, an IEEE14 node system as shown in fig. 2 is taken as an example.
And determining a guaranteed power supply, important load nodes and important sites, and determining the capacity of the important load needing to be guaranteed. And judging the importance degree of each node and each line according to the normal operation condition, and determining the construction cost of each node and each line.
Establishing a multi-objective optimization function considering the minimum number of the bottom-protected network frame lines and the highest line importance degree:
Figure BDA0002627578990000061
wherein omegaiIs a line set in the system; y isijFor the operational state of the lines i-j,
Figure BDA0002627578990000071
0 is quit and 1 is put into operation; omega is the weight of the importance degree of the line in the multi-objective optimization function, and is 0.3 in the embodiment; fijThe normalized tidal current betweenness of the line is obtained.
The importance degree of the line takes the line flow betweenness as a reference factor. The power flow betweenness of the IEEE14 nodes can be calculated as follows:
line number Median tidal current Line number Median tidal current
1-2 1 9-10 0.2871
1-5 0.5802 9-14 0.2435
5-6 0.5549 6-13 0.2363
2-4 0.5454 6-11 0.2322
4-5 0.4829 10-11 0.2259
2-3 0.4782 4-9 0.2178
2-5 0.473 13-14 0.2057
3-4 0.4383 6-12 0.1400
7-9 0.3784 12-13 0.1047
4-7 0.3702
S2: and determining a guaranteed power supply, important load nodes and important sites, and establishing node active power and reactive power balance constraints on the basis of a power flow equation of the power system.
And a part of important power supply nodes and load nodes must be reserved in the selected bottom-protecting net rack, the power supply to the important loads is ensured, and the power exchange capacity between the important power supply nodes and the important loads is ensured.
In the IEEE14 node system in this embodiment, there are 3 power nodes and 11 load nodes, and it is assumed that the backbone network maintains all load nodes and maintains power of 30% of the load under normal conditions. And (4) establishing equality constraint, and establishing node active power and reactive power balance constraint based on kirchhoff current law.
The following equality constraints are established according to kirchhoff's current law:
Figure BDA0002627578990000072
Figure BDA0002627578990000073
Figure BDA00026275789900000710
wherein the content of the first and second substances,
Figure BDA0002627578990000074
and
Figure BDA0002627578990000075
respectively sending out active power and reactive power for the node i;
Figure BDA0002627578990000076
and
Figure BDA0002627578990000077
respectively the active power and reactive power requirements of the rigid load of the node i;
pijand q isijRespectively representing the active power and reactive power values of the lines i-j flowing from the node i to the node j;
Figure BDA0002627578990000078
and
Figure BDA0002627578990000079
the conductance values and the susceptance values of the capacitor and the reactor which are nodes i respectively;
wiis the product of two voltage values at node i, i.e. wi=|vi|2(ii) a And N is the set of all nodes in the net rack.
S3: and establishing model inequality constraints including line voltage constraints, system power flow constraints, connectivity constraints, unit output constraints and line power constraints.
S31: a line voltage constraint is established.
The line voltage constraints include voltage offset constraints and voltage phase angle constraints.
In order to ensure the requirement of each node in the bottom-protecting net rack on normal working voltage, the voltage deviation of a certain node is ensured to be not more than +/-10%, and the voltage phase angle difference value of the nodes at the first end and the last end of a certain section of line is generally not more than 10 degrees.
The voltage offset constraint is:
Figure BDA0002627578990000081
wherein the content of the first and second substances,
Figure BDA0002627578990000082
and
Figure BDA0002627578990000083
respectively, a lower voltage value limit and an upper voltage value limit of the node i.
Because the bottom-protecting net frame is operated under the extreme condition of the power grid, the node voltage constraint can be properly relaxed and taken
Figure BDA0002627578990000084
UN1Lower limit of voltage value in normal condition, UN2Is the upper limit of the voltage value under the normal condition.
The voltage phase angle constraint is:
Figure BDA0002627578990000085
Figure BDA0002627578990000086
in the formula (I), the compound is shown in the specification,
Figure BDA0002627578990000087
wherein r isijAnd xijThe resistance and reactance values of the paths i-j, i, j ∈ Ωi
θΔThe upper limit value of the voltage phase angle difference value of the head end point and the tail end point of the line is obtained;
m is a very large constant, in this example taken to be 10000;
Figure BDA0002627578990000088
and
Figure BDA0002627578990000089
respectively are the susceptance value and the conductance value of the charging capacitors in the lines i-j;
tijto take into account the characteristics of the transformers I-j of the phase shifter, R, I are used to identify the real and imaginary parts of the complex number.
T=t∠θtTo account for the transformer characteristics of the phase shifter, the IEEE14 node system transformer has no phase shifting characteristics and therefore only the transformation ratio is considered. The general line is t-1, and the transformer line data is as follows:
Figure BDA00026275789900000810
Figure BDA0002627578990000091
and Z-r + ix is line impedance, Yc-gc + ibc is line-to-ground charging capacitance reactance, and the data is as follows:
node i Node j r x gc bc
1 2 0.01938 0.05917 0 0.0264
1 5 0.05403 0.22304 0 0.0246
2 3 0.04699 0.19797 0 0.0219
2 4 0.05811 0.17632 0 0.0187
2 5 0.05695 0.17388 0 0.017
3 4 0.06701 0.17103 0 0.0173
4 5 0.01335 0.04211 0 0.0064
6 11 0.09498 0.19890 0 0
6 12 0.12291 0.15581 0 0
6 13 0.06615 0.13027 0 0
7 8 0.0 0.17615 0 0
7 9 0.0 0.11001 0 0
9 10 0.03181 0.08450 0 0
12 13 0.22092 0.19988 0 0
13 14 0.17038 0.34802 0 0
14 9 0.12711 0.27038 0 0
10 11 0.08205 0.19207 0 0
4 7 0.0 0.20912 0 0
4 9 0.0 0.55618 0 0
5 6 0.0 0.25202 0 0
S32: and establishing system power flow constraint.
The system power flow constraints include line power loss constraints and line voltage drop constraints.
According to the trend formula
Figure BDA0002627578990000092
It can be seen that the power loss on lines i-j is
Figure BDA0002627578990000093
In the formula, SijApparent power, S, flowing from node i to node j for lines i-jjiApparent power, Y, flowing from node j to node i for line j-iijIs the admittance value, V, of the line i-jiAnd VjVoltage values of node i and node j, respectively, (.)*Representing the conjugate of the value.
And further deducing, and respectively establishing second-order cone constraints of active power and reactive power loss of the lines i-j:
Figure BDA0002627578990000094
Figure BDA0002627578990000095
Figure BDA0002627578990000096
Figure BDA0002627578990000101
according to the trend formula
Figure BDA0002627578990000102
It can be seen that the power loss on lines i-j is
Figure BDA0002627578990000103
Further derivation, establishing a second order cone constraint for the i-j voltage drop of the line:
Figure BDA0002627578990000104
Figure BDA0002627578990000105
wherein i, j belongs to E; e is the set of all lines in the system; lijIs the square of the value of the current on lines i-j.
S33: connectivity constraints are established.
Besides satisfying constraints such as power constraint, voltage constraint, and line capacity constraint, the bottom-preserving net rack must also satisfy topological connectivity constraint, that is, it must be ensured that the searched sub-graph of the bottom-preserving net rack is a connected graph. This greatly enhances the reliability of the bottoming net frame under extreme conditions.
The connectivity constraints are:
Figure BDA0002627578990000106
Figure BDA0002627578990000107
Figure BDA0002627578990000108
x(E(S))=y(S)-1
Figure BDA0002627578990000109
(x,y,z,a)∈{0,1}
the method comprises the following steps that J is a load node set in the power system, I is a set of nodes left by removing load nodes in the power system, and S is a set of all nodes of the power system; a isijIndicating whether the line connected to node j remains in the net rack, ziIndicating whether node i remains in the net rack, yiIndicating whether node i is required to remain in the net rack, xeWhether the line e is reserved in the bottom-retaining net rack or not is shown, x, y, z and a are all variables of 0 or 1, 0 is taken to indicate that the line is not reserved, and 1 is taken to indicate that the line is reserved; e (S) is a set of bidirectional lines in the virtual network, and H is a set of the node S and nodes adjacent to the node S.
The first constraint ensures that at least one line must be connected to the load node. The second constraint ensures that routes i-j can only be selected if node i is retained in the net bottom rack. The third constraint ensures that node i is not selected to be the net-bottom rack if node i is required to be discarded before computation. The fourth constraint and the fifth constraint ensure that the formed topology map of the bottom-protecting net rack is a tree, thereby avoiding the occurrence of looped network and ensuring the connectivity of the bottom-protecting net rack.
S34: and establishing unit output constraint.
Figure BDA0002627578990000111
Figure BDA0002627578990000112
Wherein u isiE {0, 1} represents the starting and stopping conditions of the unit, 0 represents the shutdown of the unit, and 1 represents the start of the unit;
Figure BDA0002627578990000113
in order to have an active power output,
Figure BDA0002627578990000114
respectively representing the lower limit and the upper limit of the active output of the generator;
Figure BDA0002627578990000115
in order to have no power output,
Figure BDA0002627578990000116
respectively representing the lower limit and the upper limit of the active output of the generator.
Assuming no loss of power supply nodes, the set of power generation nodes is { i }1,i2,i3The data of the upper limit and the lower limit of active power output and reactive power output are as follows:
Figure BDA0002627578990000117
s35: a line power constraint is established.
-yijM≤pij≤yijM -yijM≤qij≤yijM
-yijM≤lij≤yijM
When no line i-j is selected, pij、qijAnd lijAre all 0.
S4: and performing second-order cone optimization (SOCP) relaxation on the model, and converting the mixed integer nonlinear programming model into a mixed integer second-order cone model.
The second order cone optimized relaxation is:
Figure BDA0002627578990000119
i,j∈E;
wherein lijIs the square of the i-j current value of the line, wiIs the product of the voltage values at node i.
Obtaining | S according to the tidal current equationij|2=|Vij|2|Iij|2Considering the power flow S of the line i-j after the transformerijIs expressed as
Figure BDA0002627578990000121
Can obtain the product
Figure BDA0002627578990000122
Is provided with LijIs the square of the i-j current value of the line, wiThe product of the voltage values of the node i is obtained by a second order cone programming relaxation
Figure BDA0002627578990000123
S5: and solving the model to obtain a planning scheme.
And solving the mixed integer second-order cone planning bottom-preserving net rack searching model established by the invention by using a CPLEX solver, and analyzing a searching result.
The method for searching the bottom-preserving net rack by using the hybrid second-order cone programming model established by the invention can effectively avoid the problems of low efficiency and large uncertainty in solving the nonlinear problem caused by the traditional algorithm, and simultaneously, the solved result is more in line with the actual operation condition.
The method for solving the mixed integer second-order cone programming problem by using the CPLEX algorithm is mature in technology, the problem of searching the bottom-preserving net rack under different requirements based on the model provided by the invention is solved, the calculation speed is high, the robustness is good, the flexibility is high, the expansibility is strong, and the efficiency of searching the bottom-preserving net rack is effectively improved.
The above disclosure is only for the specific embodiments of the present invention, but the scope of the present invention is not limited thereto, and any person skilled in the art can easily conceive of changes or modifications within the technical scope of the present invention, and shall be covered by the scope of the present invention.

Claims (8)

1. A method for searching and modeling a bottom-preserving net rack based on mixed integer second-order cone programming is characterized by comprising the following steps:
s1: collecting information of a power transmission network to be planned, forming an initial power network topological graph, and establishing a multi-objective optimization function considering minimum number of bottom-protected network frame lines and highest line importance degree;
s2: determining a guaranteed power supply, an important load node and an important site, and establishing node active power and reactive power balance constraint based on a power flow equation of a power system;
s3: establishing model inequality constraints including line voltage constraints, system power flow constraints, connectivity constraints, unit output constraints and line power constraints;
s4: performing second-order cone optimization (SOCP) relaxation on the model, and converting the mixed integer nonlinear programming model into a mixed integer second-order cone model;
s5: and solving the model to obtain a planning scheme.
2. The method as claimed in claim 1, wherein the multi-objective optimization function is a mixed integer second order cone programming-based method for modeling the search of the bottom-preserving net rack
Figure FDA0002627578980000011
Wherein omegaiIs a line set in the system; y isijFor the operating state of the line i-j, yij∈{0,1},
Figure FDA0002627578980000012
0 is quit and 1 is put into operation; omega is the weight of the important degree of the line in the multi-objective optimization function; fijAnd the power flow betweenness is obtained after line normalization.
3. The method according to claim 1, wherein the line voltage constraints comprise a voltage offset constraint and a voltage phase angle constraint, and for a certain node, the voltage offset is less than or equal to ± 10%; for a certain section of line, the difference value of the voltage phase angles of the nodes at the first end and the last end is less than or equal to 10 degrees;
the voltage offset constraint is:
Figure FDA0002627578980000013
wherein, wiIs the product of two voltage values, i.e. wi=|vi|2
Figure FDA0002627578980000014
And
Figure FDA0002627578980000015
respectively is the lower limit and the upper limit of the voltage value of the node i, and N is the set of all nodes in the net rack;
the voltage phase angle constraint is:
Figure FDA0002627578980000021
Figure FDA0002627578980000022
in the formula (I), the compound is shown in the specification,
Figure FDA0002627578980000023
wherein r isijAnd xijThe resistance and reactance values of the paths i-j, i, j ∈ Ωi,θΔIs the upper limit value of the phase angle difference value of the voltage at the head and tail end points of the line, M is a constant, pij,qijRespectively representing the values of the active and reactive power of the lines i-j flowing from node i to node j,
Figure FDA0002627578980000024
and
Figure FDA0002627578980000025
are respectively asSusceptance and conductance values, t, of the charging capacitors in the lines i-jijTo take into account the characteristics of the transformers I-j of the phase shifter, R, I are used to identify the real and imaginary parts of the complex number.
4. The method according to claim 1, wherein the system power flow constraints comprise a line power loss constraint and a line voltage drop constraint;
according to the trend formula
Figure FDA0002627578980000026
It can be seen that the power loss on lines i-j is
Figure FDA0002627578980000027
In the formula, SijApparent power, S, flowing from node i to node j for lines i-jjiApparent power, Y, flowing from node j to node i for line j-iijIs the admittance value, V, of the line i-jiAnd VjVoltage values of node i and node j, respectively, (.)*A conjugate value representing a numerical value; and further deducing, respectively establishing second-order cone constraints of active power and reactive power of the line i-j:
Figure FDA0002627578980000031
Figure FDA0002627578980000032
Figure FDA0002627578980000033
Figure FDA0002627578980000034
according to the trend formula
Figure FDA0002627578980000035
It can be seen that the power loss on lines i-j is
Figure FDA0002627578980000036
Further derivation, establishing a second order cone constraint for the i-j voltage drop of the line:
Figure FDA0002627578980000037
Figure FDA0002627578980000038
wherein i, j belongs to E, and E is a set of all lines in the system; lijIs the square of the value of the current on lines i-j.
5. The method for searching and modeling the bottom-preserving net rack based on the mixed integer second order cone programming according to claim 1, wherein the connectivity constraint is as follows:
Figure FDA0002627578980000039
Figure FDA0002627578980000041
Figure FDA0002627578980000042
x(E(S))=y(S)-1
Figure FDA0002627578980000043
(x,y,z,a)∈{0,1}
wherein J is the set of load nodes in the power system, I is the set of nodes left by removing the load nodes in the power system, S is the set of all nodes in the power system, aijIndicating whether the line connected to node j remains in the net rack, ziIndicating whether node i remains in the net rack, yiIndicating whether node i is required to remain in the net rack, xeWhether the line e is reserved in the bottom-retaining net rack or not is shown, x, y, z and a are all variables of 0 or 1, 0 is taken to indicate that the line is not reserved, and 1 is taken to indicate that the line is reserved; e (S) is a set of bidirectional lines in the virtual network, and H is a set of the node S and nodes adjacent to the node S.
6. The method according to claim 1, wherein the unit output constraints are as follows:
Figure FDA0002627578980000044
Figure FDA0002627578980000045
wherein u isiE {0, 1} represents the starting and stopping conditions of the unit, 0 represents the shutdown of the unit, and 1 represents the start of the unit;
Figure FDA0002627578980000046
in order to have an active power output,
Figure FDA0002627578980000047
respectively representing the lower limit and the upper limit of the active output of the generator;
Figure FDA0002627578980000048
in order to have no power output,
Figure FDA0002627578980000049
respectively representing the lower limit and the upper limit of the active output of the generator.
7. The method for search modeling of a net rack with a bottom-preserving capability based on mixed integer second order cone programming according to claim 1, wherein the line power constraint is as follows:
-yijM≤pij≤yijM
-yijM≤qij≤yijM
-yijM≤lij≤yijM
when no line i-j is selected, pij、qijAnd lijAre all 0.
8. The method for searching and modeling the bottom-preserving net rack based on the mixed integer second-order cone programming according to the claim 1, 3, 4, 5, 6 or 7, wherein the second-order cone optimization relaxation is as follows:
Figure FDA0002627578980000051
wherein lijIs the square of the i-j current value of the line, wiIs the product of the voltage values at node i.
CN202010804006.XA 2020-08-11 2020-08-11 Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming Active CN112052543B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010804006.XA CN112052543B (en) 2020-08-11 2020-08-11 Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010804006.XA CN112052543B (en) 2020-08-11 2020-08-11 Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming

Publications (2)

Publication Number Publication Date
CN112052543A true CN112052543A (en) 2020-12-08
CN112052543B CN112052543B (en) 2022-12-23

Family

ID=73601722

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010804006.XA Active CN112052543B (en) 2020-08-11 2020-08-11 Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming

Country Status (1)

Country Link
CN (1) CN112052543B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113489068A (en) * 2021-07-16 2021-10-08 广西大学 Electric power system unit combination method and system
CN113505457A (en) * 2021-07-08 2021-10-15 广西大学 Power grid operation limit determination method and system

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103945518A (en) * 2014-04-11 2014-07-23 南京邮电大学 Beam-forming-based power distribution method for cognitive radio system
CN106921164A (en) * 2017-04-05 2017-07-04 广东电网有限责任公司东莞供电局 The MIXED INTEGER Second-order cone programming method and system of distribution voltage power-less collaboration optimization
CN107123988A (en) * 2017-05-12 2017-09-01 南京理工大学 One kind considers that the uncertain power failure network load of amount of recovery recovers Second-order cone programming method
CN108286971A (en) * 2017-10-18 2018-07-17 北京航空航天大学 A kind of forecast Control Algorithm that the Inspector satellite based on the optimization of MIXED INTEGER second order cone is evaded
CN108846507A (en) * 2018-05-29 2018-11-20 西安交通大学 Electric-gas coupled system based on MIXED INTEGER Second-order cone programming economic load dispatching method a few days ago
CN109638821A (en) * 2018-12-18 2019-04-16 广西电网有限责任公司电力科学研究院 A kind of elasticity based on one-zero programming model is guaranteed the minimum rack search modeling method
CN109768543A (en) * 2018-12-18 2019-05-17 广西电网有限责任公司电力科学研究院 A kind of elasticity based on mixed integer linear programming is guaranteed the minimum rack search modeling method
CN109950901A (en) * 2019-03-29 2019-06-28 上海电力学院 Based on the active distribution network optimizing operation method for improving information gap decision theory
CN110165712A (en) * 2019-04-24 2019-08-23 广西电网有限责任公司电力科学研究院 A kind of bulk transmission grid planning modeling method derived based on network flow constraint
CN111416356A (en) * 2020-01-20 2020-07-14 国家电网有限公司 Transmission and distribution network linkage optimization method based on alternating direction multiplier method and optimal power flow

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103945518A (en) * 2014-04-11 2014-07-23 南京邮电大学 Beam-forming-based power distribution method for cognitive radio system
CN106921164A (en) * 2017-04-05 2017-07-04 广东电网有限责任公司东莞供电局 The MIXED INTEGER Second-order cone programming method and system of distribution voltage power-less collaboration optimization
CN107123988A (en) * 2017-05-12 2017-09-01 南京理工大学 One kind considers that the uncertain power failure network load of amount of recovery recovers Second-order cone programming method
CN108286971A (en) * 2017-10-18 2018-07-17 北京航空航天大学 A kind of forecast Control Algorithm that the Inspector satellite based on the optimization of MIXED INTEGER second order cone is evaded
CN108846507A (en) * 2018-05-29 2018-11-20 西安交通大学 Electric-gas coupled system based on MIXED INTEGER Second-order cone programming economic load dispatching method a few days ago
CN109638821A (en) * 2018-12-18 2019-04-16 广西电网有限责任公司电力科学研究院 A kind of elasticity based on one-zero programming model is guaranteed the minimum rack search modeling method
CN109768543A (en) * 2018-12-18 2019-05-17 广西电网有限责任公司电力科学研究院 A kind of elasticity based on mixed integer linear programming is guaranteed the minimum rack search modeling method
CN109950901A (en) * 2019-03-29 2019-06-28 上海电力学院 Based on the active distribution network optimizing operation method for improving information gap decision theory
CN110165712A (en) * 2019-04-24 2019-08-23 广西电网有限责任公司电力科学研究院 A kind of bulk transmission grid planning modeling method derived based on network flow constraint
CN111416356A (en) * 2020-01-20 2020-07-14 国家电网有限公司 Transmission and distribution network linkage optimization method based on alternating direction multiplier method and optimal power flow

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
张胜峰 等: "考虑恢复能力的保底电网骨干网架构建方法", 《智慧电力》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113505457A (en) * 2021-07-08 2021-10-15 广西大学 Power grid operation limit determination method and system
CN113489068A (en) * 2021-07-16 2021-10-08 广西大学 Electric power system unit combination method and system

Also Published As

Publication number Publication date
CN112052543B (en) 2022-12-23

Similar Documents

Publication Publication Date Title
CN105205232B (en) Micro-grid system Simulation of stability test platform based on RTDS
CN105512472B (en) Large-scale wind electricity base power collects system topology hierarchy optimization design method
CN104578159B (en) A kind of power distribution network Three-phase Power Flow modification method containing distributed power source
CN105224760B (en) A kind of VSC HVDC grid-connected system reliability calculation methods based on wind power plant
CN104167756B (en) Power flow determination method of alternating current and direct current system containing multi-terminal high voltage direct current transmission
CN112671006A (en) Method for evaluating resonance stability of flexible direct-current transmission system of offshore wind power plant
CN106532710B (en) The micro-capacitance sensor tide optimization method of meter and Voltage Stability Constraints
CN107846014A (en) A kind of power network probability vulnerability assessment method calculated based on random character and series
CN107666155A (en) System Stochastic Stability Analysis method of providing multiple forms of energy to complement each other based on Markov model
CN112052543B (en) Bottom-preserving net rack search modeling method based on mixed integer second-order cone programming
CN103714490B (en) Large power grid on-line data multi-thread rapid-integration method
CN106026113A (en) Micro-grid system monitoring method having reactive automatic compensation function
CN107679289A (en) A kind of dynamic passive compensation collocation method for reducing multi-infeed HVDC commutation failure risk
CN104113061B (en) A kind of distribution network three-phase power flow method containing distributed power source
CN105184669A (en) 220kV urban ring network partitioning method based on node set GN splitting-up algorithm
CN108062599A (en) A kind of electric system and the modeling method of natural gas system synthetic operation
CN107123983A (en) A kind of transformer station's access scheme aided assessment method based on security domain
CN109768543B (en) Elastic bottom-preserving net rack search modeling method based on mixed integer linear programming
CN114491886A (en) General modeling method and device for active power distribution network containing multi-type distributed new energy
CN112531788B (en) Transparent micro-grid group planning method considering multiple uncertainties and self-optimization-approaching operation
Wu et al. Equivalent modeling method for regional decentralized photovoltaic clusters based on cluster analysis
CN105958530A (en) Microgrid system with reactive power automatic compensation function
CN110061495B (en) Method for identifying core network of power system based on cut constraint
CN107196307A (en) A kind of method that electric network active trend is quickly estimated after transformer fault
CN109002938B (en) Double-layer planning method for alternating current-direct current hybrid power distribution network considering N-1 safety criterion

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant