CN111948915B - Method for improving OPC correction efficiency - Google Patents

Method for improving OPC correction efficiency Download PDF

Info

Publication number
CN111948915B
CN111948915B CN202010832140.0A CN202010832140A CN111948915B CN 111948915 B CN111948915 B CN 111948915B CN 202010832140 A CN202010832140 A CN 202010832140A CN 111948915 B CN111948915 B CN 111948915B
Authority
CN
China
Prior art keywords
opc
devices
processing
layout
improving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010832140.0A
Other languages
Chinese (zh)
Other versions
CN111948915A (en
Inventor
吴青
孟鸿林
朱骏
魏芳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN202010832140.0A priority Critical patent/CN111948915B/en
Publication of CN111948915A publication Critical patent/CN111948915A/en
Application granted granted Critical
Publication of CN111948915B publication Critical patent/CN111948915B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Abstract

The invention provides a method for improving OPC correction efficiency, which comprises the following steps: respectively carrying out local OPC (optical proximity correction) processing and global OPC processing on devices on the layout; the local OPC processing comprises the following steps: defining the attribute of the device according to the function difference and/or the size difference of the device in the layout; sorting the devices of each attribute by optical radius; carrying out OPC correction processing on the classified devices; replacing all devices of the same type on the layout by the devices subjected to OPC correction, and marking the replaced places; the global OPC processing includes: and carrying out OPC correction processing on the unmarked place on the layout. Compared with the prior art that each device carries out global OPC processing, the invention only carries out local OPC processing once on the devices of the repeated type, thereby saving a large amount of time for OPC processing.

Description

Method for improving OPC correction efficiency
Technical Field
The invention relates to the technical field of semiconductors, in particular to a method for improving OPC correction efficiency.
Background
In the advanced photolithography process, simple global Optical Proximity Correction (OPC) is also gradually confronted with various problems due to the reduction in the size of an exposed pattern, and one of the problems is the process time of OPC. From tens of minutes of processing time at 110nm early, to several days of processing time that may be reached by the latest technology nodes. The software manufacturers for OPC processing are also optimizing different algorithms to achieve the best effect of optimizing OPC running time, but when the chip size is increased and the running time is continuously increased along with the decrease of the technology nodes, the running time also becomes one of the bottlenecks which restrict OPC development.
However, the increase in run time necessarily affects the time that the customer chip is published. Briefly, a 2 day OPC treatment time is expected, which if extended may require a 3 day or even 4 days. In order to reduce the processing time, more computing resources are inevitably used to assist the computation, and the resource consumption is also increased accordingly, which is not in line with the purpose of green environmental protection. How to shorten the OPC running time and reduce the resource usage is the research direction of the present patent.
Disclosure of Invention
The invention aims to provide a method for improving OPC correction efficiency, which can reduce OPC running time and improve resource utilization.
In order to achieve the above object, the present invention provides a method for improving OPC correction efficiency, comprising: respectively carrying out local OPC treatment and global OPC treatment on the devices on the layout;
the local OPC processing comprises the following steps:
defining the attribute of the device according to the function difference and/or the size difference of the device in the layout;
sorting the devices of each attribute by optical radius;
carrying out OPC correction processing on the classified devices;
replacing all devices of the same type on the layout by the devices subjected to OPC correction processing, and marking the replaced places;
the global OPC processing comprises the following steps:
and carrying out OPC correction processing on the unmarked part on the layout.
Optionally, in the method for improving OPC correction efficiency, the device of an attribute is a functional device or a combination of a plurality of functional devices.
Optionally, in the method for improving OPC correction efficiency, at least two attributes of the device are defined.
Optionally, in the method for improving OPC correction efficiency, the defined device attributes are three attributes, and the three attributes of the device are: a first device, a second device, and a third device.
Optionally, in the method for improving OPC correction efficiency, the devices with one attribute are divided into at least two types.
Optionally, in the method for improving OPC correction efficiency, the first devices are divided into at least two types; the second devices are divided into at least two categories; the third devices are classified into at least two categories.
Optionally, in the method for improving OPC correction efficiency, the method for performing OPC correction processing on each type of device includes: and performing OPC treatment on each type of device once or performing OPC treatment on at least two types of devices simultaneously in a multithread mode.
Optionally, in the method for improving OPC correction efficiency, the same device is subjected to OPC processing once.
Optionally, in the method for improving OPC correction efficiency, after performing local OPC processing and global OPC processing on devices on the layout, the method for improving OPC correction efficiency further includes: and checking the results of the local OPC processing and the global OPC correction processing, and manually correcting the devices which are not corrected in place by the local OPC processing and the global OPC correction processing.
The method for improving OPC correction efficiency comprises the steps of firstly carrying out local OPC treatment, including defining the attributes of devices, classifying the devices with the same attribute, carrying out OPC treatment on the devices of the same class, replacing all the devices of the same class on a layout with the treated result, and marking the replaced place; and carrying out global OPC treatment, namely carrying out OPC treatment on devices which are not marked on the layout. Compared with the prior art that each device is subjected to global OPC treatment, the method only performs OPC treatment once on the devices of the repeated type, thereby saving a great amount of time for OPC treatment.
Drawings
FIG. 1 illustrates a method for improving OPC correction efficiency according to an embodiment of the present invention;
fig. 2 is a schematic view of a semiconductor device of an embodiment of the present invention;
FIG. 3 is a schematic diagram of a structure for defining device attributes according to an embodiment of the present invention;
in the figure: 100-layout, 110-first device, 111-active region, 112-gate, 113-via, 120-second device, 130-third device.
Detailed Description
The following describes in more detail embodiments of the present invention with reference to the schematic drawings. The advantages and features of the present invention will become more apparent from the following description. It is to be noted that the drawings are in a very simplified form and are not to precise scale, which is provided for the purpose of facilitating and clearly illustrating embodiments of the present invention.
In the following, the terms "first," "second," and the like are used for distinguishing between similar elements and not necessarily for describing a particular sequential or chronological order. It is to be understood that the terms so used are interchangeable under appropriate circumstances. Similarly, if the method described herein comprises a series of steps, the order in which these steps are presented herein is not necessarily the only order in which these steps may be performed, and some of the described steps may be omitted and/or some other steps not described herein may be added to the method.
The inventors have found that the basic cells that make up the layout are devices of various sizes. Some common devices have very high repeatability on the layout, and if the conventional global OPC correction processing is used and some devices are repeatedly calculated in the calculation process of the global OPC correction processing, the whole layout needs to be processed for a long time.
Referring to fig. 1, the present invention provides a method for improving OPC correction efficiency, including: respectively carrying out local OPC (optical proximity correction) processing and global OPC processing on devices on the layout;
the local OPC processing comprises the following steps:
s11: defining the attribute of the device according to the function difference and/or the size difference of the device in the layout;
s12: sorting the devices of each attribute by optical radius;
s13: carrying out OPC correction processing on the classified devices;
s14: replacing all devices of the same type on the layout by the devices subjected to OPC correction processing, and marking the replaced places;
the global OPC processing comprises the following steps:
s15: and carrying out OPC correction processing on the unmarked part on the layout.
Preferably, said means of an attribute is a functional means or a combination of functional means. If a device is too small, it is time consuming to process it individually, and a combination of several devices may be considered as one device, and there may be one or a combination of two or even more such devices on the layout. For example, fig. 2 is a semiconductor device comprising: the active region 111, the gate 112 and the via 113, therefore, a device of one property may be the active region 111 or the gate 112 or the via 113, or may be a combination of the active region 111, the gate 112 and the via 113. Whether one or a combination, there may be at least one, and possibly many, on the layout. If there are many, the global OPC processing is still used for each, and the OPC processing time of the layout is increased.
Preferably, the defined device has at least one attribute. At least one device is arranged on the layout device, and devices with the same function or the same size can be defined as the same device. There may be one or two or even more such devices on a layout. In the embodiment of the present invention, the defined device attributes are three attributes, and the device attributes of the three attributes are respectively: a first device, a second device, and a third device. The simplest transistor structure is used in this case as a device of one nature, as shown in fig. 3. Fig. 3 shows this reference example, in actual operation, devices with different attributes may be defined according to different layouts 100, or multiple devices may be combined to form a device with more complex attributes (for example, in the design of an SRAM, 1 transistor may be defined, or 6 transistors may be combined to form a device with one attribute). The layout 100 of the embodiment of the invention defines the following components: a first device 110, a second device 120, and a third device 130. In practice there may be more types of devices, here three-attribute devices are chosen as an example.
Preferably, the devices of an attribute are classified into at least one category, that is, the first devices are classified into at least one category; the second devices are classified into at least one type; the third devices are classified into at least one class. And analyzing the layout according to the devices with the defined attributes. As shown in fig. 3, in the complete layout, the distribution and repetition of all the devices with defined attributes (the first device is repeated 9 times, the second device is repeated 11 times, and the third device is repeated 4 times, the embodiment of the present invention is exemplified by the devices with three attributes, and in other embodiments of the present invention, there may be devices with more attributes, which is not illustrated here too much). And (6) splitting the layout. And taking the device with each attribute as a center and the optical radius as a radius, splitting the layout and classifying repeated devices. For example, taking the first device 110 as an example, the layout is repeated for 9 times, but the split first devices 110 may be classified into 3 types due to different environments (patterns within the optical radius) around the first device 110, and in other embodiments of the present invention, the split first devices may be further classified into more types. And splitting a second device and a third device on the layout by the same splitting method, wherein the layout is split into 3 types of first devices and 2 types of second devices, for example, the first type of second devices and the second type of second devices, and the third device is 1 type. A device of the same type and attribute is considered to be a unique device, and there are 6 unique devices in this example.
Preferably, the method for performing OPC correction processing on each type of device includes: and performing OPC treatment on each type of device respectively or performing OPC treatment on multiple types of devices simultaneously in a multithreading way. The layout of the embodiment of the invention is simple, the number of the defined attributes and types of the devices is small, and the OPC treatment can be performed on the devices of one class and the devices of the other class in sequence. In other embodiments of the present invention, if the layout is complex and the defined devices have more attributes or types, the multi-thread characteristic may be fully utilized, and OPC processing may be performed on multiple split type devices at the same time, that is, respective OPC processing may be performed on one type of device and another type of device at the same time. For example, the 6 unique devices may perform OPC processing on each unique device sequentially, or perform OPC processing on the 6 unique devices simultaneously in a multi-threaded manner.
Preferably, the same type of device is subjected to a local OPC process. Compared with the prior art that each device needs one OPC treatment, the device with the same class and the same attribute only needs one OPC treatment, and the OPC treatment of the whole layout saves a large amount of time. Taking the first device 110, the second device 120, and the third device 130 as an example, 24 times appear on the layout, but only 6 times are actually done in the local OPC processing stage, which saves a lot of time.
Preferably, after the local OPC processing and the global OPC processing are performed on the devices on the layout, the method for improving the OPC correction efficiency further includes: and checking the results of the local OPC processing and the global OPC correction processing, and manually correcting the devices which are not corrected in place by the local OPC processing and the global OPC correction processing. And performing global OPC after the local OPC, wherein during the global OPC, the part marked on the layout is not subjected to the OPC any more but participates in the global OPC correction because the marked device graph can influence the OPC result of other adjacent graphs. And in the range of the optical radius around the device, the global OPC correction processing is carried out as a buffer area of the device and the non-device. After all the devices are subjected to OPC treatment, the layout is arranged, the place where the devices are not corrected in place is analyzed, and the error reporting reason is analyzed to perform manual correction.
In summary, in the method for improving OPC correction efficiency according to the embodiment of the present invention, first, local OPC processing is performed, including defining attributes of devices, classifying devices with the same attribute, performing OPC processing on devices of the same class, replacing all devices of the same class on a layout with a processed result, and marking a place after replacement; and carrying out global OPC treatment, namely carrying out OPC treatment on devices which are not marked on the layout. Compared with the prior art that each device carries out global OPC processing, the invention only carries out local OPC processing once on the devices of the repeated type, thereby saving a great amount of time for OPC processing.
The above description is only a preferred embodiment of the present invention and is not intended to limit the present invention in any way. It will be understood by those skilled in the art that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (9)

1. A method for improving OPC correction efficiency is characterized by comprising the following steps:
respectively carrying out local OPC (optical proximity correction) processing and global OPC processing on devices on the layout;
the local OPC processing comprises the following steps:
defining the attribute of the device according to the function difference and/or the size difference of the device in the layout;
sorting the devices of each attribute by optical radius;
carrying out OPC correction processing on the classified devices;
replacing all devices of the same type on the layout by the devices subjected to OPC correction, and marking the replaced places;
the global OPC processing comprises the following steps:
and carrying out OPC correction processing on the unmarked part on the layout.
2. The method for improving OPC correction efficiency of claim 1 wherein the device of an attribute is a functional device or a combination of functional devices.
3. The method for improving OPC correction efficiency of claim 1 wherein the defined device attributes are at least two.
4. The method of claim 3, wherein the defined device attributes are three attributes, and the three attributes of the device are: a first device, a second device, and a third device.
5. The method for improving OPC correction efficiency of claim 4 wherein the devices of one attribute are classified into at least two categories.
6. The method of improving OPC correction efficiency of claim 4 wherein the first devices are classified into at least two categories; the second devices are divided into at least two categories; the third devices are classified into at least two types.
7. The method for improving OPC correction efficiency according to claim 6, wherein the method for performing OPC correction processing on each type of the devices comprises: and performing OPC treatment on each type of device once or performing OPC treatment on at least two types of devices simultaneously in a multi-thread manner.
8. The method for improving OPC correction efficiency according to claim 7, wherein the same kind of the devices are subjected to OPC processing once.
9. The method for improving OPC correction efficiency according to claim 1, wherein after the local OPC processing and the global OPC processing are performed on the devices on the layout, respectively, the method for improving OPC correction efficiency further comprises: and checking the results of the local OPC processing and the global OPC correction processing, and manually correcting the devices which are not corrected in place by the local OPC processing and the global OPC correction processing.
CN202010832140.0A 2020-08-18 2020-08-18 Method for improving OPC correction efficiency Active CN111948915B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010832140.0A CN111948915B (en) 2020-08-18 2020-08-18 Method for improving OPC correction efficiency

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010832140.0A CN111948915B (en) 2020-08-18 2020-08-18 Method for improving OPC correction efficiency

Publications (2)

Publication Number Publication Date
CN111948915A CN111948915A (en) 2020-11-17
CN111948915B true CN111948915B (en) 2022-12-02

Family

ID=73343070

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010832140.0A Active CN111948915B (en) 2020-08-18 2020-08-18 Method for improving OPC correction efficiency

Country Status (1)

Country Link
CN (1) CN111948915B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112415847A (en) * 2020-11-20 2021-02-26 长江存储科技有限责任公司 Optical proximity correction method
CN116360206B (en) * 2023-05-30 2023-11-03 长鑫存储技术有限公司 Optical proximity correction method and device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245468B1 (en) * 1999-10-27 2001-06-12 Micron Technology, Inc. Optical proximity correction methods, and methods of forming radiation-patterning tools
CN103246153A (en) * 2013-04-28 2013-08-14 上海华力微电子有限公司 Territory map layer design method of semiconductor chip and mask plate thereof
CN103311103A (en) * 2013-04-28 2013-09-18 上海华力微电子有限公司 Layout-layer designing method of semiconductor chip and masking plate thereof
CN103309149A (en) * 2013-06-08 2013-09-18 上海华力微电子有限公司 Optical proximity effect correction method
CN106354908A (en) * 2016-08-19 2017-01-25 上海华力微电子有限公司 Method for improving OPC layout processing inconsistency
CN107908072A (en) * 2017-12-21 2018-04-13 上海华力微电子有限公司 A kind of OPC modification methods for reducing connection aperture layer formula run time
CN110858056A (en) * 2018-08-23 2020-03-03 三星电子株式会社 Method for manufacturing semiconductor device, extreme ultraviolet exposure and optical proximity correction

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7093228B2 (en) * 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245468B1 (en) * 1999-10-27 2001-06-12 Micron Technology, Inc. Optical proximity correction methods, and methods of forming radiation-patterning tools
CN103246153A (en) * 2013-04-28 2013-08-14 上海华力微电子有限公司 Territory map layer design method of semiconductor chip and mask plate thereof
CN103311103A (en) * 2013-04-28 2013-09-18 上海华力微电子有限公司 Layout-layer designing method of semiconductor chip and masking plate thereof
CN103309149A (en) * 2013-06-08 2013-09-18 上海华力微电子有限公司 Optical proximity effect correction method
CN106354908A (en) * 2016-08-19 2017-01-25 上海华力微电子有限公司 Method for improving OPC layout processing inconsistency
CN107908072A (en) * 2017-12-21 2018-04-13 上海华力微电子有限公司 A kind of OPC modification methods for reducing connection aperture layer formula run time
CN110858056A (en) * 2018-08-23 2020-03-03 三星电子株式会社 Method for manufacturing semiconductor device, extreme ultraviolet exposure and optical proximity correction

Also Published As

Publication number Publication date
CN111948915A (en) 2020-11-17

Similar Documents

Publication Publication Date Title
CN111948915B (en) Method for improving OPC correction efficiency
US8863045B1 (en) Optical proximity correction method based on hybrid simulation model
US9842185B2 (en) Systems and methods for group constraints in an integrated circuit layout
Sung et al. Minimizing makespan on a single burn-in oven with job families and dynamic job arrivals
US7900170B2 (en) System and method correcting optical proximity effect using pattern configuration dependent OPC models
US11630640B2 (en) Median value determination in a data processing system
JP2019075079A (en) System and method for selecting proxy computer
US20110207034A1 (en) Matching method of pattern layouts from inverse lithography
US20160370699A1 (en) Hybrid coloring methodology for multi-pattern technology
US20050114811A1 (en) Static timing and risk analysis tool
US6557159B1 (en) Method for preserving regularity during logic synthesis
US20070240089A1 (en) Apparatus and method for correcting layout pattern data
DE102020134345A1 (en) TECHNOLOGY FOR LEARNING AND DOWNLOADING FREQUENT MEMORY ACCESS AND CALCULATION PATTERNS
CN115470741B (en) Method, electronic device and storage medium for light source mask co-optimization
Xu et al. Shuttle mask floorplanning
Padmanabhan et al. Optimal design-space exploration of streaming applications
US7562061B2 (en) Context-based failure reporting for a constraint satisfaction problem
US20130080990A1 (en) Method of reducing power leakage of integrated circuit
US20080155482A1 (en) Automated optimization of vlsi layouts for regularity
US11144435B1 (en) Test case generation for software development using machine learning
Schelter et al. Proactively Screening Machine Learning Pipelines with ArgusEyes
Wu et al. Program-to-circuit: Exploiting gnns for program representation and circuit translation
US11204897B2 (en) Importing and exporting circuit layouts
Singha et al. LEAPER: Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning
CN109698140B (en) Method for checking wrapping degree of metal layer to connecting hole

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant