CN111948239B - Computationally efficient X-ray based overlay measurement system and method - Google Patents

Computationally efficient X-ray based overlay measurement system and method Download PDF

Info

Publication number
CN111948239B
CN111948239B CN202010794135.5A CN202010794135A CN111948239B CN 111948239 B CN111948239 B CN 111948239B CN 202010794135 A CN202010794135 A CN 202010794135A CN 111948239 B CN111948239 B CN 111948239B
Authority
CN
China
Prior art keywords
overlay
metrology target
ray
overlay metrology
planar substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010794135.5A
Other languages
Chinese (zh)
Other versions
CN111948239A (en
Inventor
J·亨奇
A·舒杰葛洛夫
M·贝克曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to CN202010794135.5A priority Critical patent/CN111948239B/en
Priority claimed from PCT/US2016/029876 external-priority patent/WO2016176502A1/en
Publication of CN111948239A publication Critical patent/CN111948239A/en
Application granted granted Critical
Publication of CN111948239B publication Critical patent/CN111948239B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray

Abstract

The embodiment of the invention relates to a system and a method for measuring overlay based on X-ray with high efficiency in calculation. Overlay errors between different layers of the metrology target are estimated based on intensity variations within each x-ray diffraction order measured at a plurality of different angles of incidence and azimuth angles. The estimation of overlay involves parameterizing the intensity modulations of the common level such that the low frequency shape modulations are described by a set of basis functions and the high frequency overlay modulations are described by affine-trigonometric functions that include parameters indicative of overlay. In addition to overlay, a shape parameter of the metrology target is estimated based on a fitting analysis of a measurement model to the intensities of the measured diffraction orders. In some examples, the estimation of the overlay and the estimation of the one or more shape parameter values are performed simultaneously.

Description

Computationally efficient X-ray based overlay measurement system and method
Information of divisional application
The present application is a divisional application of the invention patent application with the application date of 2016, 4 and 28, the application number of 201680021678.5 and the invention name of "computationally efficient X-ray-based overlay measurement system and method".
Cross reference to related applications
This patent application claims priority to U.S. provisional patent application No. 62/154,108 entitled "Model-free method and apparatus for measuring semiconductor device overlay using X-ray metrology techniques" (Model-Free Method and Apparatus for Measuring Semiconductor Device Overlay Using X-ray Metrology Techniques) filed on 35u.s.c. ≡119 at 28, month-2015, the subject matter of which is incorporated herein by reference in its entirety.
Technical Field
The described embodiments relate to metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy.
Background
Semiconductor devices, such as logic and memory devices, are typically fabricated through a series of processing steps that are applicable to the sample. Various features and multiple levels of structure of the semiconductor device are formed through these processing steps. For example, photolithography is a semiconductor fabrication process that involves, among other things, creating patterns on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.
Metrology processes are used at various steps during the semiconductor manufacturing process to detect defects on wafers to facilitate higher yields. Several metrology-based techniques (including scatterometry and reflectometry implementations) and associated analytical algorithms are typically used to characterize critical dimensions, film thickness, composition, and other parameters of the nanoscale structures.
Most advanced logic and memory devices fabricated at semiconductor device fabrication nodes below 20 nanometers are constructed using a variety of patterning processes. Exemplary multiple patterning processes include self-aligned double patterning (SADP), self-aligned triple patterning (SATP), and self-aligned quadruple patterning (sarp) techniques.
In one example, the SAQP fin formation process is implemented with a target pitch of one quarter of the pitch obtainable with conventional single pattern lithography. In one example, at least fourteen steps are required to create the fin structure. These steps include photolithography, etching, and stripping steps that must be precisely controlled to achieve fin structures with the desired spacing and profile. The final pitch values and fin profile (e.g., CD, SWA) achieved by the sarp fin formation process are affected by the structural parameter values (e.g., resist profile parameters, spacer film thickness, and other parameters) from the previous steps.
Currently, the measurement of overlay is performed using optical methods based mainly on optical imaging or non-imaging diffraction (scatterometry). However, these methods have not reliably overcome the fundamental challenges associated with measurement and measurement applications (e.g., line edge roughness and line width roughness measurements) of many advanced targets (e.g., complex 3D structures, structures smaller than 10nm, structures employing opaque materials).
Characterization becomes more difficult as devices (e.g., logic and memory devices) progress toward smaller nanoscale dimensions. Devices incorporating complex three-dimensional geometries and materials with disparate physical properties exacerbate characterization difficulties. For example, modern memory structures are typically high aspect ratio three-dimensional structures that make it difficult for optical radiation to penetrate to the bottom layer. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths that provide good penetration depths do not provide adequate sensitivity to small anomalies. In addition, the increasing number of parameters required to characterize complex structures (e.g., finfets) results in an increasing number of parameter correlations. Thus, parameters characterizing the target are often not reliably decoupled from the available measurements. For some structural parameters, such as Edge Placement Error (EPE), there is currently no high throughput (e.g., optical) measurement solution.
In another example, opaque high-k materials are increasingly employed in modern semiconductor structures. The optical radiation generally cannot penetrate the layers constructed from these materials. As a result, measurements made with thin film scatterometry tools (e.g., ellipsometers or reflectometers) are becoming increasingly challenging.
In some examples, optical overlay metrology is also employed, but optical overlay measurement requires specialized metrology targets to characterize structures fabricated by a variety of patterning techniques. In existing methods, overlay errors are typically estimated based on measurements of specific target structures formed at various locations on the wafer by the lithography tool. The target structure may take many forms, such as a box-in-box structure. In this form, one cassette is formed on one layer of the wafer and a second smaller cassette is formed on the other layer. Localized overlay errors are measured by comparing the alignment between the centers of the two cassettes. Such measurements are made on the wafer at locations where the target structure is available.
Disadvantageously, these specialized target structures often do not meet the design rules of the particular semiconductor manufacturing process used to create the electronic device. This results in an error in the estimation of overlay errors associated with actual device structures fabricated according to applicable design rules.
In one example, image-based optical overlay metrology is severely limited by imaging resolution at the optical wavelength. Thus, only targets with much larger features than the design rules can be measured. Image-based optical overlay metrology typically requires pattern resolution with an optical microscope that requires thick lines with critical dimensions far exceeding the critical dimensions of the design rules.
In another example, scatterometry-based optical overlay metrology based on 0-order diffraction has very low sensitivity to small overlay errors because sensitivity decreases with the spacing of periodic targets. This forces the pitch to tend to be much larger in size than the design rules of the device. Furthermore, in cases where there is any asymmetry in any of the layers that are being measured for overlay, the accuracy of this measurement method can be significantly degraded. In addition, this method cannot distinguish between positive overlay errors and negative overlay errors in a single measurement.
In another example, scatterometry-based optical overlay metrology based on diffraction orders above zero also requires a relatively large spacing target to produce adequate signals at non-zero propagating diffraction orders. In some examples, pitch values in the range of 500nm to 800nm may be used. At the same time, the actual device pitch (design rule size) for logic or memory applications may be much smaller, e.g., in the range of 100nm to 400nm, or even below 100nm. In addition, in cases where there is any asymmetry in any of the layers that are measured to overlap, the accuracy of this method can be significantly degraded.
Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) are capable of achieving atomic resolution, but they can only probe the surface of a sample. In addition, AFM and STM microscopes require long scanning times.
Scanning Electron Microscopy (SEM) achieves medium resolution levels but cannot penetrate structures to sufficient depth without damaging the sample. Thus, high aspect ratio holes are not well characterized. In addition, the required charging of the sample has an adverse effect on the imaging performance.
Transmission Electron Microscopy (TEM) achieves high resolution levels and is capable of detecting arbitrary depths, but TEM requires destructive sectioning of the sample.
In another example, an x-ray overlay measurement method is based on identifying a redistribution of diffracted x-ray energy between diffraction orders ("lobes") at fixed (normal) incidence. This method is described in U.S. patent No. 7,481,579 to She Qim (Yokhim) et al and assigned to Yokhim applied radiation company (Jordan Valley Applied Radiation, ltd.). This number has a relatively low sensitivity to overlay and is strongly correlated with CD geometry, since it does not take into account the intensity distribution within each diffraction order. Thus, an external metrology system or computationally expensive simulation is required to calibrate the effects of the CD. Any of these methods are limited in accuracy and precision due to the high correlation between CD parameters (e.g., asymmetry) and overlay.
In another example, the x-ray overlay measurement method is based on modulation of the measured intensity signal as the wafer rotates about an axis lying in the plane of the wafer surface. Further details are described in U.S. patent publication 2015/017710A 1 to Veldman et al, the contents of which are incorporated herein by reference in their entirety. In this example, the measured periodicity projects into a dimension normal to the wafer surface, but not into a direction parallel to the wafer surface and perpendicular to the periodicity dimension.
In general, semiconductor device yield at device fabrication nodes below 20 nanometers for logic devices and advanced DRAMs, as well as vertical or planar NAND devices, is a complex function of many parameters including film thickness, profile parameters of patterned lines, overlay errors, and Edge Placement Errors (EPEs). For these parameters, EPE has the most demanding process window and requires metering and control of CD and overlay. Currently, there are no high throughput optical metrology solutions for EPE measurement and many on-device overlay measurement applications. In addition, the lack of adequate metering makes it challenging to define a control scheme to improve device yield.
Future metrology applications present challenges to metrology due to smaller and smaller resolution requirements, multi-parameter correlations, increasingly complex geometries, and increasing use of opaque materials. Thus, there is a need for methods and systems for improved overlay and shape measurement.
Disclosure of Invention
Methods and systems are presented for performing overlay and edge placement errors of structures and materials based on x-ray diffraction measurement data. In one aspect, x-ray diffraction measurements of the metrology target are performed at a number of different angles of incidence and azimuth angles (as measured with reference to a coordinate system fixed to the metrology target). Overlay errors between different semiconductor layers of a metrology target are estimated based on intensity variations within each x-ray diffraction order measured at a plurality of different angles of incidence and a plurality of different azimuth angles.
When measurements are made at a plurality of different angles of incidence and a plurality of different azimuth angles, the vertical stacking of two or more structures in different layers of the metrology target affects the x-ray diffracted signal in a strong and unique manner. Thus, the overlay value and the shape parameter value are estimated based on the measured intensities.
In another aspect, the estimation of the overlay involves parameterizing the intensity modulations of the common level such that the low frequency shape modulations are described by a set of basis functions or ratios of basis functions and the high frequency overlay modulations are described by affine-trigonometric functions that include parameters indicative of the overlay. By fitting the measured intensity signals to a phenomenologically simple function, overlay shifts associated with multiple layers can be estimated in a computationally efficient manner. Thus, the measurement is performed at relatively low computational cost and does not have external reference metrology, thus overcoming limitations of current methods based on SEM, optical metrology, or other proposed x-ray metrology techniques.
In another aspect, a set of angles of incidence and azimuth are designed to enhance the spatial frequency of overlay modulation such that sensitivity to overlay is increased and correlation between overlay and shape parameters is minimized.
In another aspect, an overlay target is provided that exhibits sensitivity to overlay in two different directions based on x-ray diffraction measurements of a metrology target performed at several different angles of incidence and azimuth angles. In some embodiments, the overlay metrology target includes any two layers of a 2D periodic structure having an equal set of grating numbers in one direction (e.g., x-direction) and in an orthogonal direction (e.g., y-direction). In some other embodiments, the overlay metrology target includes three or more layers. The first layer comprises a 1D periodic structure.
In another aspect, the overlay metrology target is specifically optimized to increase the independence of overlay signals from each of the constituent layers and maximize the accuracy and precision of overlay measurements.
In some embodiments, the multi-layer overlay metrology targets are designed such that the set of separation parameters between each two layer combination is different and the minimum separation distance between all layer combinations is maximized while meeting constraints on the overall height of the metrology targets.
In some embodiments, the multi-layer overlay metrology targets are designed to have different spacings at different layers such that diffraction orders caused by one layer interfere in a constructive manner with different diffraction orders of another layer.
In some embodiments, the multi-layer overlay metrology targets are designed to have different pitch orientations at different layers such that diffraction orders caused by one layer interfere in a constructive manner with different diffraction orders of another layer.
In yet another aspect, a value of a shape parameter of any of the structures comprising the metrology target is estimated based on a fitting analysis of the detected intensities of the diffraction orders to the measurement model. In some examples, the estimation of the overlay and the estimation of the one or more shape parameter values are performed simultaneously.
The foregoing is a summary and thus contains, by necessity, simplifications, summaries and omissions of detail; accordingly, those of ordinary skill in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein, will become apparent in the non-limiting implementations set forth herein.
Drawings
Fig. 1 is a diagram illustrating a hard mask pattern of a line structure 11 fabricated in a Static Random Access Memory (SRAM) region 10 of a microelectronic chip.
Fig. 2 is a diagram illustrating a bottom anti-reflective coating (BARC) layer 12 and a resist layer 13 disposed on top of the pattern of line structures depicted in fig. 1.
Fig. 3 is a diagram illustrating an embodiment of an x-ray metrology tool 100 for measuring characteristics of a sample according to an exemplary method presented herein.
Fig. 4 is a diagram illustrating another embodiment of an x-ray metrology tool 200 for measuring characteristics of a sample according to the exemplary methods presented herein.
Fig. 5 is a diagram illustrating yet another embodiment of an x-ray metrology tool 300 for measuring characteristics of a sample in a grazing incidence mode according to an exemplary method presented herein.
Fig. 6 is a diagram illustrating the x-ray detector 116 of the metrology systems 100, 200, and 300 contained in a vacuum environment 162 separate from the sample 101.
Fig. 7 is a diagram illustrating a wafer 101 including a metrology target 120 irradiated with an x-ray radiation beam at an angle of incidence and azimuth angle.
FIG. 8 depicts a hierarchical metering target 150 that includes two periodic line arrays 151 and 152 in different layers.
Fig. 9 depicts a graph 170 indicating a simplified intensity model of incident angle ranges versus-2 and +2 diffraction orders for the metrology target illustrated in fig. 8 and a simulation of a fit corresponding to the measured values.
Fig. 10 depicts a top view of a device structure 400 that includes fields of action 401-404, gates 405-408, and contacts 409-421.
Fig. 11 is a diagram illustrating a model building and analysis engine 180 configured to estimate overlap based on modulation of intensity measurement data as described herein.
Fig. 12 is a flow chart 400 illustrating an exemplary method of estimating overlay based on modulation of intensity measurement data as described herein.
Detailed Description
Reference will now be made in detail to the present examples of the invention, examples of which are illustrated in the accompanying drawings, and some embodiments of the invention.
Fig. 1 depicts a hard mask pattern of a line structure 11 fabricated in a Static Random Access Memory (SRAM) region 10 of a microelectronic chip. A complex layout of active areas is formed by combining multiple patterning techniques with a dicing mask. The dicing mask selectively removes portions of the hard mask layer that are used to pattern the substrate as active areas. Fig. 2 depicts a bottom anti-reflective coating (BARC) layer 12 and a resist layer 13 disposed on top of the pattern of line structures depicted in fig. 1. The resist layer is used to selectively remove portions of the hard mask pattern under the openings 14 of the resist layer 13. As depicted in fig. 1, the hard mask pattern of the line structure 11 is buried by the BARC layer 12, even within the openings 14 of the resist layer 13.
To provide adequate yields for the dicing masking process, reliable measurements of shape parameters (e.g., CD, HT, SWA), film thickness, and overlay are required. Calculation of overlay shows that overlay is a function of many structural parameters from previous steps of a quad patterning process. The distribution of gaps between the edges of the kerf and the adjacent line structures and thus the yield of the process depends on the complex interactions of all process parameters.
In another example, edge Placement Distance (EPD) and associated Edge Placement Error (EPE) are important parameters to monitor and control after device electrical contacts are made. The difference between the desired EPD and the actual EPD is referred to as EPE. EPD and EPE are functions of both overlay and CD error.
Methods and systems are presented for performing overlay and edge placement errors of structures and materials based on x-ray diffraction measurement data. In some embodiments, overlay and shape parameter (e.g., CD) measurements of design rule targets are performed simultaneously. The methods and systems presented herein may be applied to two-dimensional and three-dimensional design rule metrology targets located within a functional die or located outside of a functional die. The measurement methods and systems described herein are applicable to 2x, 1x, and 0x technology nodes and beyond. In addition to providing overlay metrology capability, the methods and systems described herein enhance the accuracy and precision of shape parameter measurements by de-correlating geometric parameters of measured structures.
The use of high brightness x-ray radiation enables high flux x-ray radiation to penetrate into opaque areas of the target. In some examples, small angle x-ray scatterometry (SAXS) measurements are taken in a grazing incidence or transmitting incidence configuration. SAXS measurement involves illuminating a sample with an X-ray beam and detecting the intensity of the resulting diffraction orders at multiple angles of incidence, multiple wavelengths, or both, relative to the sample. Examples of measurable geometric parameters using SAXS include hole size, hole density, line edge roughness, line width roughness, sidewall angle, profile, critical dimension, overlay, edge placement error, and pitch. Examples of measurable material parameters include electron density, element identification, and compositions. In some examples, SAXS enables measurement of features smaller than 10nm, and advanced semiconductor structures (e.g., spin transfer torque MRAM) where measurement of geometric and material parameters is required.
FIG. 3 illustrates an embodiment of a metrology tool 100 for measuring characteristics of a sample according to the exemplary methods presented herein. As shown in fig. 3, the system 100 may be used to perform transmission SAXS measurements within an inspection region 102 of a sample 101 disposed on a sample positioning system 140. In some embodiments, the inspection region 102 has a spot size of fifty microns or less.
In the depicted embodiment, the metrology tool 100 includes an x-ray illumination source 110 configured to generate x-ray radiation suitable for SAXS measurement. In some embodiments, the x-ray illumination system 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. The x-ray illumination source 110 generates an x-ray beam 117 that is incident on the examination region 102 of the sample 101.
In general, any suitable high brightness x-ray illumination source capable of generating high brightness x-rays at flux levels sufficient to achieve high throughput in-line metrology may be contemplated to supply x-ray illumination for SAXS measurements. In some embodiments, the x-ray source comprises a tunable monochromator that enables the x-ray source to supply x-ray radiation at different selectable wavelengths.
In some embodiments, one or more x-ray sources are employed that emit radiation having photon energies greater than 15 keV. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotary anode source, a micro-focal rotary anode source, and a reverse Compton (Compton) source may be employed as the x-ray source 110. In one example, an inverse compton source commercially available from the linxin technology company (Lyncean Technologies, inc.) of palo alto, california (usa) may be contemplated. The inverse Compton source has the additional advantage of being able to generate x-rays in the photon energy range thereby enabling the x-ray source to supply x-ray radiation at different selectable wavelengths.
An exemplary x-ray source includes an electron beam source configured to bombard a solid or liquid target to stimulate x-ray radiation. FIG. 4 depicts a metrology tool 200 for measuring characteristics of a sample according to an exemplary method presented herein. The identically numbered elements of the metrology tools 100 and 200 are similar. However, in the embodiment depicted in fig. 4, the x-ray illumination source 110 is a liquid metal-based x-ray illumination system. A liquid metal jet 119 is generated from the liquid metal vessel 111 and collected in the liquid metal collector 112. A liquid metal circulation system (not shown) returns the liquid metal collected by collector 112 to liquid metal vessel 111. The liquid metal jet 119 contains one or more elements. By way of non-limiting example, the liquid metal jet 119 comprises any of aluminum, gallium, indium, tin, thallium, and bismuth. In this way, the liquid metal jet 119 generates x-rays corresponding to its constituent elements. In one embodiment, the liquid metal jet comprises an alloy of gallium and indium. In some embodiments, the x-ray illumination system 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. An electron beam source 113 (e.g., an electron gun) generates a stream of electrons 118 that is directed by electron optics 114 to a liquid metal jet 119. Suitable electron optics 114 include an electromagnet, a permanent magnet, or a combination of an electromagnet and a permanent magnet for focusing and directing an electron beam at the liquid metal jet. The coexistence of the liquid metal jet 119 and the electron stream 118 produces an x-ray beam 117 incident on the examination region 102 of the sample 101.
In one embodiment, the incident x-ray beam 117 is at an indium kα line of 24.2 keV. The x-ray beam is demagnified and collimated to less than one milliradian divergence using multilayer x-ray optics for transmission SAXS measurements.
Methods and systems for generating high brightness liquid metal x-ray radiation are described in U.S. patent No. 7,929,667 to kepite corporation (KLA-Tencor corp.) at 4 months 19 of 2011, which is incorporated herein by reference in its entirety.
As depicted in fig. 3, x-ray optics 115 shape and direct an incident x-ray beam 117 to sample 101. In some examples, the x-ray optics 115 include an x-ray monochromator to monochromator an x-ray beam incident on the sample 101. In one example, a crystal monochromator (e.g., a Loxley-tanna-baun (Loxley-Tanner-Bowen) monochromator) is employed to monochromatize the x-ray radiation beam. In some examples, the x-ray optics 115 use multi-layer x-ray optics to collimate or focus the x-ray beam 117 onto the examination region 102 of the sample 101 to less than 1 milliradian divergence. In some embodiments, x-ray optics 115 include one or more x-ray collimators, x-ray apertures, x-ray beam diaphragms, refractive x-ray optics, diffractive optics (e.g., a zone plate), specular x-ray optics (e.g., a grazing incidence ellipsoidal mirror), multi-capillary optics (e.g., hollow capillary x-ray waveguides), multi-layer optics, or a system, or any combination thereof.
The x-ray detector 116 collects x-ray radiation 125 scattered from the sample 101 according to a SAXS measurement modality and generates an output signal 126 indicative of a property of the sample 101 sensitive to the incident x-ray radiation. In some embodiments, the x-ray detector 116 collects scattered x-rays 125, while the sample positioning system 140 positions and orients the sample 101 to produce angle resolved scattered x-rays. In some embodiments, the x-ray detector 116 is capable of resolving one or more x-ray photon energies and generating a signal indicative of a property of the sample for each x-ray energy component. In some embodiments, the x-ray detector 116 includes any of the following: CCD arrays, microchannel plates, photodiode arrays, micro-strip proportional counters, gas filled proportional counters, scintillators, or fluorescent materials. In some embodiments, the x-ray detector 116 includes a single photon counting detector that detects the location and number of detected photons.
In some embodiments, the x-ray detector 116 is maintained in the same atmospheric environment (e.g., a gas purged environment) as the sample 101. However, in some embodiments, the distance between the sample 101 and the x-ray detector 116 is lengthy (e.g., greater than one meter). In these embodiments, environmental disturbances (e.g., air turbulence) cause noise to the detected signal. Thus, in some embodiments, one or more of the x-ray detectors are maintained in a localized vacuum environment separated from the sample (e.g., sample 101) by a vacuum window.
Fig. 6 is a diagram illustrating a vacuum chamber 160 containing the x-ray detector 116 in one embodiment. In a preferred embodiment, the vacuum chamber 160 contains a substantial portion of the path between the sample 101 and the x-ray detector 116. The opening of the vacuum chamber 160 is covered by a vacuum window 161. The vacuum window 161 may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., beryllium). The scattered x-ray radiation 125 passes through a vacuum window 161, enters the vacuum chamber 160 and is incident on the x-ray detector 116. A suitable vacuum environment 162 is maintained within the vacuum chamber 160 to minimize interference with the scattered x-ray radiation 125.
Fig. 5 illustrates an x-ray metrology system 300 for performing semiconductor metrology measurements in accordance with the methods described herein. As illustrated in fig. 5, the x-ray metrology system 300 includes similar, identically numbered elements described with reference to fig. 3 and 4. However, the x-ray metrology system 300 operates in a grazing incidence mode. More specifically, the x-ray metrology system 300 is configured as a grazing incidence small angle x-ray scattering (GISAXS) measurement system. Typical angles of incidence and collection are about 1 degree measured from the surface of the sample or about 89 degrees measured from an axis normal to the surface of the sample. The x-ray metrology system 300 is configured such that x-rays scattered from a sample are collected by a detector when a sample handler (not shown) positions the sample. In addition, any other particles generated during the interaction (e.g., photoelectrons, x-rays generated by fluorescence, or ions) may be detected. Metrology systems configured to perform GISAXS measurements require a high brightness x-ray source to maintain sufficient brightness over a relatively large sample area illuminated at a small angle. For this reason, the liquid metal jet x-ray source 110 described with reference to fig. 4 is particularly well suited for GISAXS measurements.
By way of non-limiting example, the x-ray metrology systems 100 and 200 illustrated in fig. 3 and 4, respectively, are configured as transmission small angle x-ray scatterometers (TSAXS), and the x-ray metrology system 300 illustrated in fig. 5 is configured as grazing incidence small angle x-ray scatterometers (GISAXS). In general, however, an x-ray metrology system configured to perform diffraction-based overlay measurements and shape parameter measurements as described herein may employ any one or more of the following metrology techniques: transmission small angle x-ray scattering (TSAXS), grazing incidence small angle x-ray scattering (GISAXS), wide angle x-ray scattering (WAXS), x-ray diffraction (XRD), grazing incidence x-ray diffraction (GIXRD), and high resolution x-ray diffraction (HRXRD).
The metrology tool 100 also includes a computing system 130 for acquiring the signal 126 generated by the SAXS detector 116 and determining a property of the sample based at least in part on the acquired signal. As illustrated in fig. 3, the computing system 130 is communicatively coupled to the SAXS detector 116.
In another embodiment, the computing system 130 is configured to access the model parameters in real-time using real-time critical dimension labeling (RTCD), or the computing system 130 may access a library of pre-computed models to determine the value of at least one sample parameter value associated with the sample 101. In general, some form of CD engine may be used to estimate the difference between an assigned CD parameter of a sample and a CD parameter associated with the measured sample. Exemplary methods and systems for calculating sample parameter values are described in U.S. patent No. 7,826,071 issued to kepiti, 11, 2, 2010, which is incorporated herein by reference in its entirety. Additional details regarding x-ray based measurements of semiconductor structures are provided in U.S. patent publication 2013/0304424 and U.S. patent publication 2015/0110249, which are incorporated herein by reference in their entirety.
In another aspect, the metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement the beam control functionality as described herein. In the embodiment depicted in fig. 3, the computing system 130 is configured as a beam controller operable to control any of the illumination properties (e.g., intensity, divergence, spot size, polarization, spectrum, and positioning) of the incident SAXS illumination beam 117.
As illustrated in fig. 3, the computing system 130 is communicatively coupled to the SAXS detector 116. The computing system 130 is configured to receive measurement data 126 from the SAXS detector 116. In one example, the measurement data 126 includes an indication of the measured SAXS response (i.e., intensity of diffraction orders) of the sample. Based on the distribution of the measured SAXS response over the surface of detector 116, the location and area of incidence of SAXS illumination beam 117 on sample 101 is determined by computing system 130. In one example, pattern recognition techniques are applied by the computing system 130 to determine the location and area of incidence of the SAXS illumination beam 117 on the sample 101 based on the measurement data 126. In some examples, the computing system 130 communicates command signals 137 to the illumination optics 115 to select a desired illumination wavelength and redirect and reshape the SAXS illumination beam 117 such that the incident SAXS illumination beam 117 reaches a desired location and is oriented at an angle relative to the sample 101. In some other examples, the computing system 130 communicates command signals to the wafer positioning system 140 to position and orient the sample 101 such that the incident SAXS illumination beam 117 reaches a desired location and is oriented at an angle relative to the sample 101. In some other examples, the computing system 130 communicates command signals 137 to the x-ray source 110 to select a desired illumination wavelength and redirects and reshapes the SAXS illumination beam 117 such that the incident SAXS illumination beam 117 reaches a desired location and is oriented at an angle relative to the sample 101.
In one aspect, x-ray diffraction measurements of a metrology target are performed at a number of different angles of incidence and azimuth angles. Fig. 7 depicts a wafer 101 including a metrology target 120. The x-ray source irradiates metrology target 120 with x-ray beam 117 at an angle of incidence AOI and an azimuth angle Az. The incident angle and azimuth angle of the incident x-ray radiation beam are { with respect to a coordinate system fixed to the sample 101 B X, B Y, B Z }, defined by Z }. As depicted in fig. 7, metering target 120 includes a rim B Extends in the Y direction and along B The X direction is a periodic grating structure. The incident angle is defined as the incident beam relative to B Projection of Z axis to B X- B Angle in the Z plane. In this sense, the change in incident angle can be considered as the wafer 101 being surrounded in a plane with the wafer 101 B Rotation of the Y axis. Similarly, azimuth is defined as the incident beam relative to B Projection of X-axis to B X- B Angle in the Y plane. In this sense, the change in azimuth angle can be considered as the wafer 101 surrounding the normal to the wafer 101 B Rotation of the Z axis.
As illustrated in fig. 3, the metrology tool 100 includes a sample positioning system 140 configured to align the sample 101 and orient the sample 101 over a large out-of-plane angular orientation range relative to the SAXS scatterometer. In other words, the sample positioning system 140 is configured to rotate the sample 101 over a wide range of angles about one or more axes of rotation that are aligned in-plane to the surface of the sample 101 and normal to the surface of the sample 101. In some embodiments, the sample positioning system 140 is configured to rotate the sample 101 within a range of at least 90 ° about one or more axes of rotation aligned in-plane to the surface of the sample 101 and normal to the surface of the sample 101. In this way, angular resolved measurements of the sample 101 are collected by the metering system 100 within any number of locations on the surface of the sample 101. In one example, the computing system 130 communicates a command signal indicative of a desired location of the sample 101 to the motion controller 145 of the sample positioning system 140. In response, the motion controller 145 generates command signals to the various actuators of the sample positioning system 140 to achieve the desired positioning of the sample 101.
By way of non-limiting example, as illustrated in fig. 3, the sample positioning system 140 includes an edge gripping chuck 141 to securely attach the sample 101 to the sample positioning system 140. The rotary actuator 142 is configured to rotate the edge gripping chuck 141 and attached sample 101 relative to the peripheral frame 143. In the depicted embodiment, the rotary actuator 142 is configured to rotate the sample 101 about an x-axis of the coordinate system 146 illustrated in fig. 3. As depicted in fig. 3, the rotation of the sample 101 about the z-axis is a rotation about the normal surface of the sample 101. Rotation about the x-axis and y-axis (not shown) is out-of-plane rotation of the sample 101 that effectively tilts the surface of the sample relative to the metering elements of the metering system 100. Although not illustrated, the second rotary actuator is configured to rotate the sample 101 about the y-axis. The linear actuator 144 is configured to translate the peripheral frame 143 in the x-direction. Another linear actuator (not shown) is configured to translate the peripheral frame 143 in the y-direction. In this way, each location on the surface of the sample 101 can be used to { around a coordinate system fixed to the sample 101 B X, B Y, B Measurements made over a range of rotations of the axis of Z.
In general, the sample positioning system 140 may include any suitable combination of mechanical elements to achieve desired linear and angular positioning performance, including but not limited to goniometer stages, hexapod stages, angled stages, and linear stages.
In the embodiments depicted in fig. 3-5, a single incident x-ray radiation beam is illustrated. The orientation of a single beam relative to the wafer is defined by a single angle of incidence and azimuth angle. For embodiments employing a single illumination beam, x-ray diffraction measurements associated with a plurality of different angles of incidence and azimuth angles are performed sequentially. In general, however, x-ray diffraction measurements associated with a plurality of different angles of incidence and azimuth angles may be performed simultaneously. In some embodiments, one or more x-ray sources and one or more sets of x-ray optics may be employed such that the metrology target is simultaneously illuminated from multiple directions (discrete or continuous in angle of incidence and azimuth).
As described previously, x-ray diffraction measurements of metrology targets are performed at a number of different angles of incidence and azimuth angles. In another aspect, overlay error between different semiconductor layers of a metrology target is estimated based on intensity variations within each x-ray diffraction order measured at a plurality of different angles of incidence and a plurality of different azimuth angles.
When measurements are made at a plurality of different angles of incidence and a plurality of different azimuth angles, the vertical stacking of two or more structures in different layers of the metrology target affects the x-ray diffracted signal in a strong and unique manner. Thus, the overlay value and shape parameter value may be estimated based on the measured intensities.
In another aspect, the estimation of the overlay involves parameterizing the intensity modulations of the common level such that the low frequency shape modulations are described by a set of basis functions or ratios of basis functions and the high frequency overlay modulations are described by affine-trigonometric functions that include parameters indicative of the overlay.
In one example, the parameterization is derived from an analysis of the canonical overlay temperature. FIG. 8 depicts a hierarchical metering target 150 that includes two periodic line arrays 151 and 152, each having a periodicity P. The line arrays are vertically spaced apart by a distance S and the line arrays are offset by a overlap distance D. The height and width of each of the line arrays are given by parameters H and W, respectively.
In addition, the electron density of the top line is given by the parameter δ0, and the bottom line is given by δ1. Metrology target 150 is irradiated by an x-ray radiation beam having a wavelength λ. Incident x-ray radiation beam at an incident angle θ and azimuth angle Illuminating a metrology target, wherein +.>For this grating, the number of wavelengths is defined as k 0 =2π/λ,And the number of gratings in the 1D periodic direction is defined as k x =2pi/P, where m is the number of steps. The angle of incidence is measured from an axis normal to the wafer.
Analysis of the diffraction intensities provides an approximate representation of the intensity of each stage as illustrated in equation (1).
Equation (1) illustrates: modulation of the stage intensity is not only made by varying the angle of incidence θ, but also by varying the azimuth angleIs made. Stated another way, it is contemplated that the diffraction orders can be matched by varying the angle of incidence B Projection modulation of X-aligned grating periodicity dimension B Direction of Z alignment. In addition, modulation of the self-coupling by changing azimuth angle is contemplated B X-aligned grating periodicity dimension to and from B The diffraction order of the projection in the Y-aligned direction. Additionally, the changes in the angle of incidence and azimuth may be coordinated to highlight the overlay signal. For example, changing azimuth may be by a scaling factor +.>Slowing down the shape and overlay modulation due to the change in angle of incidence. Finally, equation (1) also illustrates that the modulation of the stage intensities due to the shape parameters W and H typically has a low spatial frequency relative to the overlay modulation described by the end term of equation (1).
Because of the relatively low spatial frequency modulation due to shape, this modulation can be modeled by a low order polynomial (e.g., linear or quadratic function). The modulation due to the separation distance S and overlap D may then be represented by cosine terms illustrated in equation (1). Thus, the simplified model of the intensity of each stage takes the form of addition or multiplication, respectively, as illustrated by equations (2 a) and (2 b).
Without explicit knowledge of the shape, the shape function defined by the first term of equation (2 a) and the first factor of equation (2 b) models the shape modulation as a linear combination of basis functions θj weighted by parameters aj. As illustrated in equations (2 a) and (2 b), a single-term basis is employed to describe the shape change. In general, however, any polynomial, rational number, or any type of basis set may be employed.
The parameter b defines the modulation depth. Parameters D and S define the overlay. By varying the angle of incidence, azimuth, or both, any suitable curve fitting routine and parameter a may be used for the resulting data for either stage j And b, D and S fitting. The overlay is given by the fit to parameter D.
The simplified model of overlay measurement described in the foregoing illustrates a phenomenological method to model the intensity variation of diffraction orders based on changes in angle of incidence and azimuth. In general, the model may be based on other waveforms and non-polynomial basis functions.
By fitting the measured intensity signals to a phenomenologically simple function, overlay shifts associated with multiple layers can be estimated in a computationally efficient manner. Thus, the measurement is performed at relatively low computational cost and does not have external reference metrology, thus overcoming limitations of current methods based on SEM, optical metrology, or other proposed x-ray metrology techniques.
Fig. 9 depicts a graph 170 indicating a simulation of the fit result to the metrology target 150 depicted in fig. 8. Curve 171 depicts a simulation of the normalized intensity of the-2 diffraction orders for a range of angles of incidence. Curve 172 depicts a simulation of normalized intensity for +2 diffraction orders for the same range of incidence angles. Curve 173 depicts the result of a fit to the simulated diffraction intensity made by reference to the type model described in equation (2). As illustrated in fig. 9, the simplified model described with reference to equation (2) provides a close fit to the simulated intensity values.
As illustrated by equation (2), the overlay modulation is an even function of the diffraction orders. Thus, the data from both the positive and negative stages may be averaged or fitted together. In addition, multiple stages may fit together. In some examples, a different range of angular spaces may be employed for each different diffraction order.
In the embodiment depicted in fig. 1, computing system 130 is configured as a model construction and analysis engine 180 and is operable to implement model construction and analysis functionality as described herein.
Fig. 11 is a diagram illustrating a model building and analysis engine 180 configured to estimate overlap based on modulation of intensity measurement data as described herein. As depicted in fig. 11, the model construction and analysis engine 180 includes an overlay model construction module 181 that generates a simplified model 122 of overlay measurements, such as the model described with reference to equations (2 a) or (2 b). Model 122 captures the intensity variations of the various diffraction orders based on the changes in the angle of incidence and azimuth.
The fit analysis module 183 receives the measurement signal 126 indicative of the measured intensities of the diffraction orders and estimates the overlay of the measured overlay metrology targets based on the measured data. In some examples, the fitting analysis is an iterative optimization involving minimizing the difference between the measured intensity and the calculated intensity of the diffraction orders.
After the iterative optimization converges, the estimated overlay value of the measured overlay metrology target 185 is stored in memory 190.
In another aspect, a set of angles of incidence and azimuth are designed to enhance the spatial frequency of overlay modulation such that sensitivity to overlay is increased and correlation between overlay and shape parameters is minimized. In some examples, intensity signals are collected and analyzed at a limited number of angles of incidence and azimuth angles.
In some embodiments, recursive optimization of the physical model of the x-ray diffraction measurements is employed to derive a set of angles of incidence and azimuth that achieve maximum sensitivity to overlay and minimize correlation between overlay and shape parameters.
In yet another aspect, an overlay target is provided that exhibits sensitivity to overlay in two different directions based on x-ray diffraction measurements of a metrology target performed at several different angles of incidence and azimuth angles. For a simple orthogonal 2D periodic structure, equation (2) may be extended to include two independent modulation factors, as illustrated in equation (3).
In some embodiments, the overlay metrology target includes any two layers of a 2D periodic structure having an equal set of grating numbers in one direction (e.g., x-direction) and in an orthogonal direction (e.g., y-direction).
In some other embodiments, the overlay metrology target includes three layers. The first layer comprises a 1D periodic structure. The second layer includes another 1D periodic structure oriented at an angle (e.g., orthogonal) relative to the 1D periodic structure located in the first layer. The third layer comprises a 2D periodic structure (e.g., an array of pillars). The periodicity of the 2D grating has wave vectors that coincide with the underlying 1D periodic structure. In this way, the overlay associated with two different directions (e.g., x and y directions) is estimated separately. For example, in one direction, several stages with a common number of gratings between the first layer and the third layer are analyzed as if the stages were modulated with a single modulation factor. For the other direction, several stages with a common number of gratings between the second layer and the third layer are analyzed as if they were modulated with another modulation factor. In another example, the overlap may be estimated jointly when both modulation factors include parameter S. In another example, when crossed gratings are employed in the first and second layers, two overlay values can be estimated by a single change in angle of incidence, provided that the azimuth angle is neither 0 nor 90.
In some other embodiments, the overlay metrology target includes four layers including two sets of 1D periodic gratings from which overlay in two directions is measured.
In general, there is no theoretical limit to the number of layers and overlay parameters that can be estimated based on x-ray diffraction measurements performed at a plurality of different angles of incidence and azimuth angles. The interferometric modulation illustrated in equations (2) and (3) indicates that when the spacing parameter S between any two layers is unique, the intensity signal as a function of AOI, az, or both, will be independent and the overlay parameter is measurable. Thus, it is desirable to design the multi-layer overlay metrology targets such that a set of spacing parameters between each two layer combination is different. However, in practice, as the number of layers increases, the number of layers combined with two layers at a time also increases. Thus, it is challenging to design metrology targets with a unique set or near unique set of separation distances between each of the two layer combinations.
In some embodiments, the overlay metrology target is specifically optimized to increase the independence of overlay signals from each of the constituent layers and maximize the accuracy and precision of overlay measurements.
In some embodiments, the multi-layer overlay metrology targets are designed such that the set of separation parameters between each two layer combination is different and the minimum separation distance between all layer combinations is maximized while meeting constraints on the overall height of the metrology targets.
In some embodiments, the multi-layer overlay metrology targets are designed to have different spacings at different layers such that diffraction orders caused by one layer interfere in a constructive manner with different diffraction orders of another layer. In one embodiment, the periodic grating structures in the first layer have a pitch equal to 2A, where a is any positive numerical constant. Another periodic structure in a different layer has a pitch equal to 3A. In this example, the second diffraction order of the first layer interferes with the third diffraction order of the second layer in a constructive manner. Thus, the intensity measurements detected at these pairs of levels are governed by the overlap between the two layers. Conversely, intensity measurements detected at different pairs of steps that do not undergo overlapping constructive interference are governed by shape parameters. Thus, in some embodiments, the metrology overlay target is designed with a specific grating structure to increase sensitivity to overlay at a specific grating level pair and also provide intensity data useful for estimating shape parameter values.
Similarly, multilayer overlay metrology targets are designed with different pitch orientations at different layers such that diffraction orders caused by one layer interfere in a constructive manner with different diffraction orders of another layer. In general, a set of layers with different periodicity (e.g., different grating pitches), different pitch orientations, or any combination thereof produces a set of scatter vectors that are each associated with a different layer. The overlay metrology targets are designed such that a predetermined subset of the scatter vectors are aligned. In this way, the sensitivity to overlay among the layers corresponding to the predetermined subset of scatter vectors is enhanced.
In general, the overlay metrology target may include a 1D periodic structure (i.e., periodic in one direction and constant in the other direction), a 2D periodic structure (i.e., periodic in both directions), or any combination thereof. For a 2D periodic target, the two periodic directions may or may not be perpendicular to each other. Furthermore, the spacing of each of the constituent structures may be the same or different.
By estimating overlay based on the variation of measured intensities associated with each diffraction order, no particular periodicity or symmetry is required among the overlay structures comprising the overlay metrology targets. Thus, some or all of the overlapping structures may not be periodic. For example, overlay metrology targets may be 1D periodic in one direction and varied rather than periodic in the other direction. In another example, some or all of the overlapping structures may be asymmetric.
In yet another aspect, a value of a shape parameter of any of the structures comprising the metrology target is estimated based on a fitting analysis of the detected intensities of the diffraction orders to the measurement model. In some examples, the estimation of the overlay and the estimation of the one or more shape parameter values are performed simultaneously.
In some examples, the measurement model is a physical-based model of the geometry and scattering of x-ray radiation made by overlaying the metrology targets. In this method, a parametric model is generated to describe the structure and materials comprising the metrology target including the parameter of interest. The values of these parameters are estimated by finding the best fit of the simulated data to the experimental data.
Pei Keman (bakerman) et al, U.S. patent publication 2014/0019097, which is incorporated herein by reference in its entirety, describes a model construction and analysis engine that can be used to create models of the sample that incorporate geometric and material properties. The model may be used to generate optical and x-ray simulations. In some examples, the optical simulation is based on Rigorous Coupled Wave Analysis (RCWA), in which Maxwell's (Maxwell) equations are solved to calculate optical signals such as reflectivity, ellipsometry parameters, phase changes, etc. for different polarizations. The x-ray scatter simulation may be based on the x-ray form factor illustrated in equation (4)
Where F is the form factor, q is the scattering vector, and ρ (r) is the electron density of the sample. Next, the x-ray scatter intensity is given by equation (5)
As described in r.l. jones et al (r.l. jones), which is incorporated herein by reference in its entirety, in cross-section and critical dimension metrology (Cross Section and Critical Dimension Metrology in Dense High Aspect Ratio Patterns with CD-SAXS) using CD-SAXS in dense high aspect ratio patterns (AIP conference book (AIP Conference Proceedings), volumes 788, pages 403-406 (2005)). In some other examples, a distorted wave born approximation is employed.
In some other examples, the measurement model is an input-output measurement model, such as via a network model, a support vector machine model, a Principal Component Analysis (PCA) model, and the like. Additional details regarding input-output measurement models are described in U.S. patent publication 2016/0003609 to Shi Chege Nov (Shcagrov) et al, which is incorporated herein by reference in its entirety.
In one example, edge placement errors between layers are estimated based on overlay measurements as described herein and measurements of shape parameters based on intensities within each x-ray diffraction order measured at a plurality of different angles of incidence and a plurality of different azimuth angles. Edge Placement Error (EPE) combines overlay and shape parameter (e.g., CD) errors. In one example, EPE is the difference between the CD value (e.g., width W depicted in fig. 8) and the overlay value (e.g., overlay D depicted in fig. 8). Thus, the measurement of EPE is simplified by employing the computationally efficient overlay measurement described herein and using the same intensity measurement data to estimate CD parameter values.
Fig. 10 depicts a top view of a device structure 400 that includes fields of action 401-404, gates 405-408, and contacts 409-421. FIG. 10 illustrates an edge placement distance EPD between gate 407 and contact 418 1 . FIG. 10 also illustrates the edge placement distance EPD between gate 408 and contact 418 2 And edge placement distance EPD between gate 406 and contact 414 3 . The edge placement distance must be carefully controlled to ensure high device yields. If the edge placement error associated with any of these edge placement distances is too large, the device will fail. As illustrated in fig. 10, both overlay error and CD error contribute to EPE. For example, if the layers associated with the contacts are not aligned with the layers associated with the gates, an EPE is generated. Similarly, if the CD associated with the contact structure deviates from the nominal size, EPE is generated. For example, contacts 413 and 416 are too large. The result isOverlap between each contact and the corresponding gate structure occurs and the device fails.
Additional details regarding EPE measurements are described in U.S. patent publication 2016/0003609 to Shi Chege Nov et al, which is incorporated herein by reference in its entirety.
In general, the methods described herein may be applied to many different x-ray diffraction-based techniques, such as transmission small angle x-ray scattering (TSAXS), grazing incidence small angle x-ray scattering (GISAXS), wide angle x-ray scattering (WAXS), x-ray diffraction (XRD), grazing incidence x-ray diffraction (GIXRD), and high resolution x-ray diffraction (HRXRD). In these systems, all diffraction orders falling on the detector are collected immediately.
For grazing incidence SAXS implementations, the grazing incidence angle is adjusted to achieve interference fringes of x-ray fields diffracted by gratings at different layers and optimize penetration depth. The penetration depth may be limited by total internal reflection at grazing incidence angles below the critical angle.
In yet another aspect, any of the systems described herein may employ a Bonse-Hart camera (Bonse-Hart camera) to select a particular photon energy (Q) range. In some embodiments, the Poisson-Hart camera includes an analyzer crystal between the sample and the detector. The analyzer crystal has a specific angle of acceptance that satisfies the Bragg condition. The angular acceptance angle is selected by adjusting the crystal angle. In one example, the Q range is selected to measure higher order diffraction peaks, for example, where the intensity modulation is at a higher angular frequency than lower order peaks. This may enable overlay measurements to be made with a small number of sample angles. In another example, the Q range used to measure the low order diffraction peaks is selected, where the overall intensity of the order is higher, to reduce measurement time.
In general, a Poisson-Hart camera may include any suitable selectable analyzer element that limits the transmission of scattered radiation over a selectable energy range. In one example, the selectable analyzer component is a repeating multilayer film that satisfies the Bragg condition at a particular acceptance angle.
In some embodiments, a sample positioning system that controls the position and orientation of the sample 101 relative to the incident x-ray radiation beam cooperates with a stage system that controls the angle of the analyzer crystal such that the analyzer crystal and the sample are aligned in tandem.
In yet another aspect, the overlay metrology target is a design rule target that includes pitch and CD under device design rules. In some embodiments, instead of a dedicated overlay target located in the scribe line region, an overlay metrology target is located in the die. The methods and systems described herein allow measurements to be made at design rule pitches. This reflects real device overlap more faithfully than existing approaches.
It should be appreciated that the various steps described throughout this disclosure may be performed by a single computer system 130 or (alternatively) multiple computer systems 130. Further, the different subsystems of the system 100 (e.g., the sample positioning system 140) may include a computer system adapted to perform at least a portion of the steps described herein. Accordingly, the foregoing description should not be construed as limiting the invention but as merely illustrative. Moreover, one or more computing systems 130 may be configured to perform any (any) other step of any of the method embodiments described herein.
Additionally, computer system 130 may be communicatively coupled to SAXS detector 116 and SAXS illumination optics 115 in any manner known in the art. For example, one or more computing systems 130 may be coupled to computing systems associated with SAXS detector 116 and SAXS illumination optics 115, respectively. In another example, either of SAXS detector 116 and SAXS illumination optics 115 may be directly controlled by a single computer system coupled to computer system 130.
Computer system 130 may be configured to receive and/or obtain data or information from subsystems of the system, such as SAXS detector 116 and SAXS illumination optics 115 and the like, through a transmission medium, which may include wired and/or wireless portions. In this way, the transmission medium may be used as a data link between computer system 130 and other subsystems of system 100.
The computer system 130 of the metrology system 100 can be configured to receive and/or obtain data or information (e.g., measurements, modeling inputs, modeling results, etc.) from other systems through a transmission medium that can include wired and/or wireless portions. In this way, the transmission medium may be used as a data link between the computer system 130 and other systems (e.g., the memory on-board metering system 100, external memory, or external systems). For example, computing system 130 may be configured to receive measurement data (e.g., signal 126) from a storage medium (i.e., memory 132 or 190) via a data link. For example, spectral results obtained using a spectrometer of any of SAXS detectors 116 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 190). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Further, computer system 130 may send data to other systems via a transmission medium. For example, the overlay values 185 determined by the computer system 130 may be stored in a persistent or semi-persistent memory device (e.g., memory 190). In this regard, the measurement results may be communicated to another system.
Computing system 130 may include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors, which execute instructions from a memory medium. .
Program instructions 134 implementing methods such as those described herein may be transmitted via a transmission medium, such as a wire, cable, or wireless transmission link. For example, as illustrated in fig. 3, program instructions stored in memory 132 are transmitted to processor 131 via bus 133. Program instructions 134 are stored in a computer readable medium, such as memory 132. Exemplary computer readable media include read-only memory, random-access memory, magnetic or optical disks, or tape.
In some embodiments, scatterometry analysis as described herein is implemented as part of a fabrication process tool. Examples of fabrication process tools include, but are not limited to, photolithographic exposure tools, film deposition tools, implantation tools, and etching tools. In this way, the results of SAXS analysis are used to control the fabrication process. In one example, SAXS measurement data collected from one or more targets is sent to a fabrication process tool. The SAXS measurement data is analyzed as described herein and the results are used to adjust the operation of the fabrication process tool.
Scatterometry as described herein may be used to determine characteristics of various semiconductor structures. Exemplary structures include, but are not limited to, finfets, low-dimensional structures (e.g., nanowires or graphene), structures less than 10nm, lithographic structures, through Substrate Vias (TSVs), memory structures (e.g., DRAM 4F2, FLASH, MRAM) and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters (e.g., line edge roughness, line width roughness, hole size, hole density, sidewall angle, profile, critical dimensions, pitch) and material parameters (e.g., electron density, composition, particle structure, morphology, stress, strain, and element identification).
Fig. 12 illustrates a method 400 suitable for implementation by the metering system 100 of the present invention. In one aspect, it is recognized that the data processing blocks of method 400 may be performed via preprogrammed algorithms that are executed by one or more processors of computing system 130. While the following description is presented in the context of metrology systems 100, 200, and 300, it is recognized herein that the particular structural aspects of metrology systems 100, 200, and 300 are not meant to be limiting and should be construed as illustrative only.
In block 401, a measurement target disposed on a planar substrate is irradiated with a beam of x-ray radiation at a plurality of different incident angles and at a plurality of different azimuth angles. The measurement target includes: a first structure disposed in a first layer fabricated at a first height above a planar substrate; and a second structure disposed in a second layer fabricated at a second height above the planar substrate.
In block 402, a plurality of intensities are detected. Each of the detected intensities is associated with one or more diffraction orders of an amount of radiation scattered from the measurement target in response to the incident x-ray radiation beam, and each of the detected intensities is associated with a different angle of incidence and azimuth angle.
In block 403, an overlay value between the first structure and the second structure is estimated based on a plurality of modulations of intensities within each of the one or more x-ray diffraction orders at a plurality of different angles of incidence and a plurality of different azimuth angles.
As described herein, the term "critical dimension" includes: any critical dimension of the structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.); critical dimensions between any two or more structures (e.g., distance between two structures); and displacement between two or more structures (e.g., overlay displacement between overlay grating structures, etc.). The structures may include three-dimensional structures, patterned structures, overlapping structures, and the like.
As described herein, the term "critical dimension application" or "critical dimension measurement application" includes any critical dimension measurement.
As described herein, the term "metrology system" includes any system employed in any aspect, including critical dimension applications and overlay metrology applications, that at least partially characterizes a sample. However, such terms of the art do not limit the scope of the term "metering system" as described herein. Additionally, the metrology system described herein can be configured for measuring patterned and/or unpatterned wafers. The metrology system may be configured as an LED inspection tool, edge inspection tool, backside inspection tool, macro inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), as well as any other metrology or inspection tool that benefits from the measured imaging or structure.
Various embodiments of a semiconductor processing system (e.g., a metrology system or a lithography system) that can be used to process a sample are described herein. The term "sample" is used herein to refer to a wafer, a reticle, or any other specimen that can be processed (e.g., printed or inspected for defects) by means known in the art.
As used herein, the term "wafer" generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates are commonly found and/or processed in semiconductor fabrication facilities. In some cases, the wafer may include only the substrate (i.e., a bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be "patterned" or "unpatterned". For example, a wafer may include multiple dies with repeatable pattern features.
A "reticle" may be a reticle at any stage of the reticle fabrication process or a finished reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle or "mask" is generally defined as a substantially transparent substrate having substantially opaque regions formed and configured in a pattern thereon. The substrate may comprise, for example, amorphous SiO 2 Is a glass material of (a). A reticle may be disposed over a resist covered wafer during an exposure step of a lithographic process so that a pattern on the reticle may be transferred to the resist.
One or more layers formed on the wafer may be patterned or unpatterned. For example, a wafer may include multiple dies each having repeatable pattern features. The formation and processing of such material layers may ultimately result in a finished device. Many different types of devices can be formed on a wafer, and the term "wafer" as used herein is intended to encompass wafers on which any type of device known in the art is fabricated.
In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. Storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media may comprise: RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general purpose or special purpose computer or a general purpose or special purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital Subscriber Line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes Compact Disc (CD), laser disc, XRF disc, digital Versatile Disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media.
Although some specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of the various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.

Claims (17)

1. An overlay metrology target, comprising:
a first structure disposed in a first layer fabricated at a first height above a surface of a planar substrate; a kind of electronic device with high-pressure air-conditioning system
A second structure disposed in a second layer fabricated at a second height above the surface of the planar substrate such that the second structure is offset from the first structure by a first overlay parameter value in a first direction parallel to the surface of the planar substrate,
wherein the first structure is periodic and has a first periodicity, and wherein the second structure is periodic and has a second periodicity, wherein the first periodicity and the second periodicity are selected such that a first diffraction order associated with the first structure constructively interferes with a second diffraction order associated with the second structure, and wherein the overlay metrology target diffracts incident x-ray radiation from a plurality of different angles of incidence and a plurality of different azimuth angles such that a measured intensity associated with each of a plurality of diffraction orders is modulated.
2. The overlay metrology target of claim 1, further comprising:
a third structure disposed in a third layer fabricated at a third height above the surface of the planar substrate such that the third structure is offset from the first structure and the second structure by a second and third overlay parameter value, respectively, in the first direction parallel to the surface of the planar substrate, wherein a first separation distance between the first and second heights, a second separation distance between the first and third heights, and a third separation distance between the second and third heights are independent distances that are different from each other.
3. The overlay metrology target of claim 1, wherein the direction of periodicity of the first structure is aligned with the direction of periodicity of the second structure.
4. The overlay metrology target of claim 1, wherein the first structure is periodic and has a pitch equal to 2A, and wherein the second structure is periodic and has a pitch equal to 3A, wherein a is any positive numerical constant.
5. The overlay metrology target of claim 1, wherein the overlay metrology target is a design rule target.
6. The overlay metrology target of claim 1, wherein the overlay metrology target is disposed in a die.
7. The overlay metrology target of claim 1, wherein the first structure is spatially periodic in at least one direction parallel to a planar surface of the planar substrate.
8. The overlay metrology target of claim 1, wherein the first structure, the second structure, or both are spatially periodic in two directions.
9. The overlay metrology target of claim 8, wherein the two directions are orthogonal.
10. An overlay metrology target, comprising:
a first structure disposed in a first layer fabricated at a first height above a surface of a planar substrate; a kind of electronic device with high-pressure air-conditioning system
A second structure disposed in a second layer fabricated at a second height above the surface of the planar substrate such that the second structure is offset from the first structure by a first overlay parameter value in a first direction parallel to the surface of the planar substrate,
wherein the first structure is spatially periodic in a first direction, wherein the second structure is spatially periodic in a second direction different from the first direction, and wherein the overlay metrology target diffracts incident x-ray radiation from a plurality of different angles of incidence and a plurality of different azimuth angles such that a measured intensity associated with each of a plurality of diffraction orders is modulated; and
A third structure disposed in a third layer fabricated at a third height above the surface of the planar substrate such that the third structure is offset from the first structure and the second structure by a second and third overlay parameter value, respectively, in the first direction parallel to the surface of the planar substrate, wherein a first separation distance between the first and second heights, a second separation distance between the first and third heights, and a third separation distance between the second and third heights are independent distances that are different from each other.
11. The overlay metrology target of claim 10, wherein the first structure is periodic and has a pitch equal to 2A, and wherein the second structure is periodic and has a pitch equal to 3A, wherein a is any positive numerical constant.
12. The overlay metrology target of claim 10, wherein the overlay metrology target is a design rule target.
13. The overlay metrology target of claim 10, wherein the overlay metrology target is disposed in a die.
14. The overlay metrology target of claim 10, wherein the first structure, the second structure, or both are spatially periodic in two directions.
15. The overlay metrology target of claim 14, wherein the two directions are orthogonal.
16. An overlay metrology target, comprising:
a first structure disposed in a first layer fabricated at a first height above a surface of a planar substrate; a kind of electronic device with high-pressure air-conditioning system
A second structure disposed in a second layer fabricated at a second height above the surface of the planar substrate such that the second structure is offset from the first structure by a first overlay parameter value in a first direction parallel to the surface of the planar substrate,
wherein the first structure is spatially periodic with a pitch equal to 2A, wherein the second structure is spatially periodic with a pitch equal to 3A, wherein A is any positive numerical constant, and
wherein the overlay metrology target diffracts incident x-ray radiation from a plurality of different angles of incidence and a plurality of different azimuth angles such that a measured intensity associated with each of a plurality of diffraction orders is modulated.
17. The overlay metrology target of claim 16, further comprising:
a third structure disposed in a third layer fabricated at a third height above the surface of the planar substrate such that the third structure is offset from the first structure and the second structure by a second and third overlay parameter value, respectively, in the first direction parallel to the surface of the planar substrate, wherein a first separation distance between the first and second heights, a second separation distance between the first and third heights, and a third separation distance between the second and third heights are independent distances that are different from each other.
CN202010794135.5A 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method Active CN111948239B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010794135.5A CN111948239B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562154108P 2015-04-28 2015-04-28
US62/154,108 2015-04-28
CN202010794135.5A CN111948239B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method
PCT/US2016/029876 WO2016176502A1 (en) 2015-04-28 2016-04-28 Computationally efficient x-ray based overlay measurement
CN201680021678.5A CN107533020B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680021678.5A Division CN107533020B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method

Publications (2)

Publication Number Publication Date
CN111948239A CN111948239A (en) 2020-11-17
CN111948239B true CN111948239B (en) 2024-01-12

Family

ID=60765561

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010794135.5A Active CN111948239B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method
CN201680021678.5A Active CN107533020B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201680021678.5A Active CN107533020B (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement system and method

Country Status (1)

Country Link
CN (2) CN111948239B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111948239B (en) * 2015-04-28 2024-01-12 科磊股份有限公司 Computationally efficient X-ray based overlay measurement system and method
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US11036898B2 (en) * 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101278237A (en) * 2005-09-30 2008-10-01 先进微装置公司 Structure and method for simultaneously determining an overlay accuracy and pattern placement error
CN102636963A (en) * 2011-02-11 2012-08-15 Asml荷兰有限公司 Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
CN103582819A (en) * 2011-04-06 2014-02-12 科磊股份有限公司 Method and system for providing a quality metric for improved process control
TW201415567A (en) * 2005-10-31 2014-04-16 Kla Tencor Tech Corp Methods and apparatus for designing and using micro-targets in overlay metrology
CN103814429A (en) * 2012-05-22 2014-05-21 科磊股份有限公司 Overlay targets with orthogonal underlayer dummyfill
CN107533020B (en) * 2015-04-28 2020-08-14 科磊股份有限公司 Computationally efficient X-ray based overlay measurement system and method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US7110491B2 (en) * 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (en) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
CN101943865B (en) * 2009-07-09 2012-10-03 上海微电子装备有限公司 Alignment marks for photoetching equipment and alignment method
CN102914952A (en) * 2011-08-04 2013-02-06 上海微电子装备有限公司 Position adjusting device of reference grating of aligning system and adjusting method thereof
KR101942388B1 (en) * 2012-02-21 2019-01-25 에이에스엠엘 네델란즈 비.브이. Inspection apparatus and method
US10801975B2 (en) * 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9846132B2 (en) * 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101278237A (en) * 2005-09-30 2008-10-01 先进微装置公司 Structure and method for simultaneously determining an overlay accuracy and pattern placement error
TW201415567A (en) * 2005-10-31 2014-04-16 Kla Tencor Tech Corp Methods and apparatus for designing and using micro-targets in overlay metrology
CN102636963A (en) * 2011-02-11 2012-08-15 Asml荷兰有限公司 Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
CN103582819A (en) * 2011-04-06 2014-02-12 科磊股份有限公司 Method and system for providing a quality metric for improved process control
CN103814429A (en) * 2012-05-22 2014-05-21 科磊股份有限公司 Overlay targets with orthogonal underlayer dummyfill
CN107533020B (en) * 2015-04-28 2020-08-14 科磊股份有限公司 Computationally efficient X-ray based overlay measurement system and method

Also Published As

Publication number Publication date
CN107533020B (en) 2020-08-14
CN107533020A (en) 2018-01-02
CN111948239A (en) 2020-11-17

Similar Documents

Publication Publication Date Title
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
CN108401437B (en) X-ray scatterometry metrology for high aspect ratio structures
US10359377B2 (en) Beam shaping slit for small spot size transmission small angle X-ray scatterometry
US9885962B2 (en) Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
CN113677983B (en) Method and system for combining X-ray metrology data sets to improve parameter evaluation
CN110383053B (en) X-ray zoom lens for small-angle X-ray scattering measurement
KR20190131129A (en) Transmission incineration X-ray scattering measurement system
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111948239B (en) Computationally efficient X-ray based overlay measurement system and method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant