CN111902566B - Method for protecting metal parts against corrosion using chromium-containing films - Google Patents

Method for protecting metal parts against corrosion using chromium-containing films Download PDF

Info

Publication number
CN111902566B
CN111902566B CN201980020567.6A CN201980020567A CN111902566B CN 111902566 B CN111902566 B CN 111902566B CN 201980020567 A CN201980020567 A CN 201980020567A CN 111902566 B CN111902566 B CN 111902566B
Authority
CN
China
Prior art keywords
chromium
oxide
aerospace component
hafnium
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980020567.6A
Other languages
Chinese (zh)
Other versions
CN111902566A (en
Inventor
尤里·梅尔尼克
苏克蒂·查特吉
考沙尔·冈加什卡尔
乔纳森·弗兰克尔
兰斯·A·斯卡德尔
普拉文·K·纳万克尔
大卫·布里兹
托马斯·奈斯利
马克·沙丽
戴维·汤普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310717002.1A priority Critical patent/CN116892011A/en
Publication of CN111902566A publication Critical patent/CN111902566A/en
Application granted granted Critical
Publication of CN111902566B publication Critical patent/CN111902566B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/288Protective coatings for blades
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Embodiments of the present disclosure generally relate to protective coatings on aerospace components and methods for depositing protective coatings. In one or more embodiments, a method of depositing a protective coating on an aerospace component includes: the aerospace component is sequentially exposed to a chromium precursor and a reactant to form a chromium-containing layer on a surface of the aerospace component by an atomic layer deposition process. The chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination thereof.

Description

Method for protecting metal parts against corrosion using chromium-containing films
Technical Field
Embodiments of the present disclosure relate generally to deposition processes, and in particular, to vapor deposition processes for depositing films on aerospace components.
Background
Turbine engines typically have components that corrode or degrade over time due to exposure to hot gases and/or reactive chemicals (e.g., acids, bases, or salts). Such turbine components are often protected by thermal and/or chemical barrier coatings. Current coatings used on airfoils exposed to hot gases of combustion in gas turbine engines, both for environmental protection and as bond coats in thermal barrier coating (thermal barrier coating; TBC) systems, include diffusion aluminides and various metal alloy coatings. These coatings are applied over a substrate material, typically nickel-based superalloys (superalloys), to provide protection against oxidation and corrosion. These coatings are formed on the substrate in a number of different ways. For example, a nickel aluminide layer may be grown as an overcoat on a nickel-based superalloy by merely exposing the substrate to an aluminum-rich environment at elevated temperatures. Aluminum diffuses into the substrate and combines with the nickel to form an outer surface of the nickel aluminum alloy.
The platinum modified nickel aluminide coating may be formed by first electroplating platinum to a predetermined thickness over a nickel-based substrate. Exposing the platinized substrate to an aluminum-rich environment at high temperature causes growth of an outer region of the platinum-containing nickel-aluminum alloy in solid solution. In the presence of excess aluminum, platinum aluminum has two phases that can precipitate in the NiAl matrix when aluminum diffuses into and reacts with nickel and platinum.
However, as increased demands for engine performance increase engine operating temperatures and/or engine life requirements, when the coating is used as an environmental coating or as a bond coat, it is desirable that the performance of the coating be higher than the capabilities of these existing coatings. Because of these requirements, there is a need for a coating that is environmentally friendly or that can be used as a bond coat that can withstand higher operating temperatures or for longer periods of time or both before being removed for repair. These known coating materials and deposition techniques have several drawbacks. Most metal alloy coatings deposited by low pressure plasma spraying, plasma vapor deposition (plasma vapor deposition; PVD), electron beam physical vapor deposition (electron beam PVD; EBPVD), cathodic arc or similar sputtering techniques are line-of-sight coatings, meaning that the interior of the component cannot be coated. External platinum plating typically results in a fairly uniform coating, however, it has proven challenging to plate the interior of the part. The resulting electroplated coating is often too thin to be protective or too thick to present other adverse mechanical effects such as excessive weight gain or reduced fatigue life. Likewise, aluminide coatings also suffer from non-uniformities in the internal passages of the component. Aluminide coatings are brittle and may result in reduced life when exposed to fatigue.
In addition, most of these coatings have thicknesses greater than about 10 microns, which can lead to increased component weight, making the design of disks and other support structures more challenging. Most of these coatings require a high temperature (e.g., greater than 500 ℃) step to deposit or promote sufficient interdiffusion of the coating into the alloy to achieve adhesion. Many people desire such coatings: (1) protecting the metal from oxidation and corrosion; (2) Can have high film thickness and composition uniformity over arbitrary geometries; (3) has high adhesion to metal; (4) Thin enough to not substantially increase weight or reduce fatigue life outside current design practices of bare metals, and/or (5) deposited at a sufficiently low temperature (e.g., 500 ℃ or less) without causing microstructural changes in the metal.
Thus, there is a need for improved protective coatings and improved methods of depositing protective coatings.
Disclosure of Invention
Embodiments of the present disclosure generally relate to protective coatings on aerospace components and methods for depositing protective coatings. In one or more embodiments, a method of depositing a protective coating on an aerospace component includes: the aerospace component is sequentially exposed to a chromium precursor and a reactant to form a chromium-containing layer on a surface of the aerospace component by an atomic layer deposition (atomic layer deposition; ALD) process. The chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination thereof.
In some embodiments, a method of depositing a coating on an aerospace component includes: a nanolaminate (nanolaminate) film stack is formed on a surface of an aerospace component, wherein the nanolaminate film stack contains alternating layers of a chromium-containing layer and a second deposited layer. The method further comprises the steps of: sequentially exposing the aerospace component to a chromium precursor and a first reactant to form a chromium-containing layer on the surface by ALD, and sequentially exposing the aerospace component to a metal or silicon precursor and a second reactant to form a second deposited layer on the surface by ALD. The chromium-containing layer comprises chromium oxide, chromium nitride, or a combination thereof; and the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof.
In other embodiments, the aerospace component contains a coating disposed on a surface. The surface comprises or contains nickel, nickel superalloys, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or combinations thereof. The coating has a thickness of less than 10 μm and comprises or contains a chromium-containing layer, and wherein the chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination of the foregoing. In some examples, the surface of the aerospace component is an interior surface within a cavity of the aerospace component. The cavity may have an aspect ratio of about 5 to about 1,000, and the coating may have a uniformity of less than 30% of the thickness across the inner surface.
Drawings
The foregoing brief summary of the detailed description of the disclosure, while the above detailed features of the disclosure may be understood in detail, and appreciated more particular description of the disclosure, is obtained by reference to embodiments, some of which are illustrated in the drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a flow chart of a method of depositing a coating on an aerospace component according to one or more embodiments described and discussed herein.
Fig. 2A and 2B are schematic illustrations of a protective coating disposed on a surface of an aerospace component according to one or more embodiments described and discussed herein.
Fig. 3A and 3B are schematic illustrations of an aerospace component containing one or more protective coatings according to one or more embodiments described and discussed herein.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one or more embodiments may be beneficially incorporated in other embodiments.
Detailed Description
Embodiments of the present disclosure generally relate to protective coatings, such as nanolaminate film stacks or coalescing films, disposed on aerospace components; and a method of depositing a protective coating. The aerospace component as described and discussed herein may be or include one or more turbine blades, turbine vanes, ribs, fins, cylindrical fins, combustor fuel nozzles, combustor shrouds, or any other aerospace component or part that benefits from depositing a protective coating thereon. The protective coating may be deposited or otherwise formed on the interior and/or exterior surfaces of the aerospace component.
In one or more embodiments, a method of depositing a protective coating on an aerospace component includes: the aerospace component is sequentially exposed to a chromium precursor and a reactant to form a chromium-containing layer on a surface of the aerospace component by an Atomic Layer Deposition (ALD) process. The chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination thereof.
In some embodiments, a nanolaminate film stack is formed on a surface of an aerospace component, wherein the nanolaminate film stack contains alternating layers of a chromium-containing layer and a second deposited layer. The aerospace component may be sequentially exposed to a metal or silicon precursor and a second reactant to form a second deposited layer on the surface by ALD. The second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof. The nanolaminate film stack comprising alternating layers of chromium-containing layers and second deposited layers can be used as a protective coating on an aerospace component. Alternatively, in other embodiments, the nanolaminate film stack disposed on the aerospace component may be exposed to an annealing process to convert the nanolaminate film stack into a coalesced film that may be used as a protective coating on the aerospace component.
FIG. 1 is a flow chart of a method 100 for depositing a coating on one or more aerospace components according to one or more embodiments described and discussed herein. Fig. 2A and 2B are schematic illustrations of protective coatings 200 and 250 disposed on a surface of an aerospace component 202 according to one or more embodiments described and discussed herein. The protective coatings 200 and 250 may be deposited or otherwise formed on the aerospace component 202 by the method 100 described and discussed below.
In one or more embodiments, the protective coating 200 contains a nanolaminate film stack 230, the nanolaminate film stack 230 containing one or more pairs of first deposited layers 210 and second deposited layers 220 that are sequentially deposited or otherwise formed on the aerospace component 202, as shown in fig. 2A. The nanolaminate film stack 230 is illustrated with four pairs of first and second deposited layers 210, 220, however, the nanolaminate film stack 230 may include any number of first and second deposited layers 210, 220, as discussed further below. For example, the nanolaminate film stack 230 may contain from one pair of first and second deposited layers 210, 220 to about one hundred fifty pairs of first and second deposited layers 210, 220. In other embodiments (not shown), the protective coating 200 is not a nanolaminate film stack, but rather contains a first deposited layer 210 or a second deposited layer 220 deposited or otherwise formed on the aerospace component 202. In a further embodiment, a nanolaminate film stack 230 comprising one or more pairs of first and second deposited layers 210, 220 is first deposited and then converted to a coalescing film 240, such as shown by protective coating 250 shown in fig. 2B.
At block 110, the aerospace component 202 may optionally be exposed to one or more pre-cleaning processes prior to producing the protective coating 200 or 250. The surface of the aerospace component 202 may contain oxides, organics, oil, dirt, particles, debris, and/or other contaminants that are removed prior to creating the protective coating 200 or 250 on the aerospace component 202. The pre-cleaning process may be or include one or more oiling or texturing processes, vacuum cleaning, solvent cleaning, acid cleaning, wet cleaning, plasma cleaning, ultrasonic treatment, or any combination of the foregoing. Once cleaned and/or textured, the subsequently deposited protective coating 200 or 250 has a stronger adhesion to the surface of the aerospace component 202 than if not exposed to the pre-cleaning process.
In one or more examples, the surface of the aerospace component 202 may be sandblasted or otherwise exposed with beads, sand, carbonates, or other particulates to remove oxides and other contaminants from the surface, and/or to provide texturing to the surface of the aerospace component 202. In some embodiments, the aerospace component 202 may be placed in a chamber within a pulse push-pull system and exposed to a purge gas (e.g., N 2 Ar, he, or any combination of the above) and vacuum purging to remove debris from small holes in the aerospace component 202. Among othersIn an example, the surface of the aerospace component 202 may be exposed to a hydrogen plasma, an oxygen or ozone plasma, and/or a nitrogen plasma, which may be generated in a plasma chamber or by a remote plasma system.
In one or more examples, such as for organic or oxide removal, the surface of the aerospace component 202 may be exposed to a hydrogen plasma, then degassed, and then exposed to an ozone treatment. In other examples, such as for organic removal, the surface of the aerospace component 202 may be exposed to wet cleaning, including: immersing in an alkaline degreasing solution, rinsing, exposing the surface to an acidic cleaning (e.g., sulfuric acid, phosphoric acid, or hydrochloric acid), rinsing, and exposing the surface to an ultrasonic bath of deionized water. In some examples, such as for oxide removal, the surface of the aerospace component 202 may be exposed to a wet clean comprising: the surface is exposed to a dilute acid solution (e.g., acetic acid or hydrochloric acid), rinsed, and exposed to an ultrasonic bath of deionized water. In one or more examples, such as for particle removal, the surface of the aerospace component 202 may be exposed to ultrasonic treatment (e.g., supersonic) and/or supercritical carbon dioxide scrubbing, followed by exposure to a purge gas (e.g., N 2 Ar, he or any combination of the above) and vacuum purging to remove particles from the surface and dry the surface. In some examples, the aerospace component 202 may be exposed to a heating or drying process, such as heating the aerospace component 202 to a temperature of about 50 ℃, about 65 ℃, or about 80 ℃ to about 100 ℃, about 120 ℃, or about 150 ℃ and exposing the surface to a purge gas. The aerospace component 202 may be heated in a furnace or exposed to lamps for a heating or drying process.
At block 120, the aerospace component 202 may be exposed to a first precursor and a first reactant to form a first deposited layer 210 on the aerospace component 202 by a vapor deposition process, as shown in fig. 2A. The vapor deposition process may be an ALD process, a plasma-enhanced ALD (PE-ALD) process, a thermal chemical vapor deposition (chemical vapor deposition; CVD) process, a plasma-enhanced CVD (PE-CVD) process, or any combination thereof.
In one or more embodiments, the vapor deposition process is an ALD process and the method includes exposing the surface of the aerospace component 202 to a first precursor and a first reactant to form the first deposited layer 210. Each cycle of the ALD process includes exposing the surface of the aerospace component to a first precursor, performing a pump-purge, exposing the aerospace component to a first reactant, and performing a pump-purge to form the first deposited layer 210. The order of the first precursor and the first reactant may be reversed such that the ALD cycle includes exposing the surface of the aerospace component to the first reactant, performing a pump-purge, exposing the aerospace component to the first precursor, and performing a pump-purge to form the first deposited layer 210.
In some examples, during each ALD cycle, the aerospace component 202 is exposed to the first precursor for about 0.1 seconds to about 10 seconds, to the first reactant for about 0.1 seconds to about 10 seconds, and to the pump-purge for about 0.5 seconds to about 30 seconds. In some examples, during each ALD cycle, the aerospace component 202 is exposed to the first precursor for about 0.5 seconds to about 3 seconds, to the first reactant for about 0.5 seconds to about 3 seconds, and to the pump-purge for about 1 second to about 10 seconds.
Each ALD cycle is repeated from 2, 3, 4, 5, 6, 8, about 10, about 12, or about 15 to about 18, about 20, about 25, about 30, about 40, about 50, about 65, about 80, about 100, about 120, about 150, about 200, about 250, about 300, about 350, about 400, about 500, about 800, about 1,000, or more times to form the first deposited layer. For example, the number of the cells to be processed, each ALD cycle is repeated from 2 to about 1,000, 2 to about 800, 2 to about 500, 2 to about 300, 2 to about 250, 2 to about 200, 2 to about 150, 2 to about 120, 2 to about 100, 2 to about 80, 2 to about 50, 2 to about 30, 2 to about 20, 2 to about 15, 2 to about 10, 2 to about 5, about 8 to about 1,000, about 8 to about 800, about 8 to about 500, about 8 to about 300, about 8 to about 250, about 8 to about 200, about 8 to about 150, about 8 to about 120, about 8 to about 100, about 8 to about 80, about from about 8 to about 50, from about 8 to about 30, from about 8 to about 20, from about 8 to about 15, from about 8 to about 10, from about 20 to about 1,000, from about 20 to about 800, from about 20 to about 500, from about 20 to about 300, from about 20 to about 250, from about 20 to about 200, from about 20 to about 150, from about 20 to about 120, from about 20 to about 100, from about 20 to about 80, from about 20 to about 50, from about 20 to about 30, from about 50 to about 1,000, from about 50 to about 500, from about 50 to about 350, from about 50 to about 300, from about 50 to about 250, from about 50 to about 150, or about 50 times to about 100 times to form the first deposition layer 210.
In other embodiments, the vapor deposition process is a CVD process and the method includes exposing the aerospace component 202 to the first precursor and the first reactant simultaneously to form the first deposited layer 210. Each of the first precursor and the first reactant may independently include one or more carrier gases during the ALD process or the CVD process. One or more purge gases may be flowed across the aerospace component and/or throughout the processing chamber between the first precursor and the exposure of the first reactant. In some examples, the same gas may be used as a carrier gas or purge gas. Exemplary carrier gases and purge gases may independently be or include nitrogen (N) 2 ) Argon, helium, neon, hydrogen (H) 2 ) Or one or more of any combination of the above.
The first deposited layer 210 may have a thickness of about 0.1nm, about 0.2nm, about 0.3nm, about 0.4nm, about 0.5nm, about 0.8nm, about 1nm, about 2nm, about 3nm, about 5nm, about 8nm, about 10nm, about 12nm, or about 15nm to about 18nm, about 20nm, about 25nm, about 30nm, about 40nm, about 50nm, about 60nm, about 80nm, about 100nm, about 120nm, or about 150 nm. For example, the number of the cells to be processed, the first deposited layer 210 may have a thickness of about 0.1nm to about 150nm, about 0.2nm to about 120nm, about 0.2nm to about 100nm, about 0.2nm to about 80nm, about 0.2nm to about 50nm, about 0.2nm to about 40nm, about 0.2nm to about 30nm, about 0.2nm to about 20nm, about 0.2nm to about 10nm, about 0.2nm to about 5nm, about 0.2nm to about 1nm, about 0.2nm to about 0.5nm, about 0.5nm to about 150nm, about 0.5nm to about 120nm, about 0.5nm to about 100nm, about 0.5nm to about 80nm, about 0.5nm to about 50nm, about 0.5nm to about 40nm, about 0.5nm to about 30nm, about 0.5nm to about 20nm a thickness of about 0.5nm to about 10nm, about 0.5nm to about 5nm, about 0.5nm to about 1nm, about 2nm to about 150nm, about 2nm to about 120nm, about 2nm to about 100nm, about 2nm to about 80nm, about 2nm to about 50nm, about 2nm to about 40nm, about 2nm to about 30nm, about 2nm to about 20nm, about 2nm to about 10nm, about 2nm to about 5nm, about 2nm to about 3nm, about 10nm to about 150nm, about 10nm to about 120nm, about 10nm to about 100nm, about 10nm to about 80nm, about 10nm to about 50nm, about 10nm to about 40nm, about 10nm to about 30nm, about 10nm to about 20nm, or about 10nm to about 15 nm.
In one or more embodiments, the first precursor contains one or more chromium precursors, one or more aluminum precursors, or one or more hafnium precursors. The first reactant contains one or more reducing agents, one or more oxidizing agents, one or more nitriding agents, one or more silicon precursors, one or more carbon precursors, or any combination thereof. In some examples, the first deposited layer 210 is a chromium-containing layer that may be or include metallic chromium, chromium oxide, chromium nitride, chromium silicide, chromium carbide, or any combination thereof. In other examples, the first deposited layer 210 is an aluminum-containing layer that may be or include metallic aluminum, aluminum oxide, aluminum nitride, aluminum silicide, aluminum carbide, or any combination thereof. In further examples, the first deposited layer 210 is a hafnium-containing layer that may be or include metallic hafnium, hafnium oxide, hafnium nitride, hafnium silicide, hafnium carbide, or any combination thereof.
The chromium precursor may be or include one or more of a cyclopentadienyl chromium compound, a chromium carbonyl compound, a chromium acetylacetonate compound, a chromium diazadienoate compound, a substitution of the foregoing, a complex of the foregoing, an abduct of the foregoing, a salt of the foregoing, or any combination of the foregoing. Exemplary chromium precursors may be or include bis (cyclopentadienyl) chromium (Cp) 2 Cr), bis (pentamethylcyclopentadiene) chromium ((Me) 5 Cp) 2 Cr), bis (isopropylcyclopentadiene) chromium ((iPrCp) 2 Cr), bis (ethylbenzene) chromium ((EtBz) 2 Cr), chromium hexacarbonyl (Cr (CO) 6 ) Chromium acetylacetonate (Cr (acac) 3 Also known as tris (2, 4-pentanedione) chromium), chromium hexafluoroacetylacetonate (Cr (hfac) 3 ) Tris (2, 6-tetramethyl-3, 5-heptanedione) chromium (III) { Cr (tmhd) 3 Bis (1, 4-di-t-butyldiazadienyl) chromium (II), isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing. Exemplary chromium diazadienes may have the following chemical formula:
Figure BDA0002690472770000081
wherein each R and R' is independently selected from H, C C6 alkyl, aryl, acyl, alkylamide, hydrazide, silyl, aldehyde, ketone, C2C 4 alkenyl, alkynyl, or substituents of the foregoing. In some examples, each R is independently C1-C6 alkyl selected from methyl, ethyl, propyl, butyl, or isomers of the foregoing, and R' is H. For example, R is methyl and R 'is H, R is ethyl and R' is H, R is isopropyl and R 'is H or R is tert-butyl and R' is H.
The aluminum precursor may be or include one or more alkyl aluminum compounds, one or more alkoxy aluminum compounds, one or more acetylacetonate aluminum compounds, substituents of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing. Exemplary aluminum precursors may be or include trimethylaluminum, triethylaluminum, tripropylaluminum, tributylaluminum, trimethoxyaluminum, triethoxyaluminum, tripropoxyaluminum, tributoxyaluminum, aluminum acetylacetonate (Al (acac) 3 Also known as tris (2, 4-pentanedione) aluminum), aluminum hexafluoroacetylacetonate (Al (hfac) 3 ) Tri-di-pivaloyl methyl aluminum (DPM) 3 Al;(C 11 H 19 O 2 ) 3 Al), isomers of the above, complexes of the above, abducts of the above, salts of the above, or any combination of the above.
Hafnium precursorThe compound may be or include one or more hafnium cyclopentadiene compounds, one or more hafnium amino compounds, one or more hafnium alkyl compounds, one or more hafnium alkoxide compounds, a substituent of the above, a complex of the above, an abduct of the above, a salt of the above, or any combination of the above. Exemplary hafnium precursors may be or include bis (methylcyclopentadiene) hafnium dimethyl ((MeCp) 2 HfMe 2 ) Bis (methylcyclopentadienyl) methylmethoxy hafnium ((MeCp) 2 Hf (OMe) (Me)) bis (cyclopentadiene) hafnium dimethyl ((Cp) 2 HfMe 2 ) Hafnium tetra (t-butoxy), hafnium isopropoxide ((iPrO) 4 Hf), tetrakis (dimethylamino) hafnium (TDMAH), tetrakis (diethylamino) hafnium (TDEAH), tetrakis (ethylmethylamino) hafnium (TEMAH), isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
The titanium precursor may be or include one or more cyclopentadienyl titanium compounds, one or more amino titanium compounds, one or more alkyl titanium compounds, one or more alkoxy titanium compounds, substituents of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing. An exemplary titanium precursor may be or include bis (methylcyclopentadienyl) dimethyl titanium ((MeCp) 2 TiMe 2 ) Bis (methylcyclopentadienyl) methyl methoxy titanium ((MeCp) 2 Ti (OMe) (Me)) bis (cyclopentadienyl) dimethyl titanium ((Cp) 2 TiMe 2 ) Titanium tetra (t-butoxy) and titanium isopropoxide ((iPrO) 4 Ti), tetrakis (dimethylamino) titanium (TDMAT), tetrakis (diethylamino) titanium (TDEAT), tetrakis (ethylmethylamino) titanium (TEMAT), isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
In one or more examples, the first deposited layer 210 is a chromium-containing layer that may be or include metallic chromium, and the first reactant contains one or more reducing agents. In some examples, the first deposited layer 210 is an aluminum-containing layer that may be or include metallic aluminum, and the first reactant contains one or more reducing agents. In other examplesThe first deposited layer 210 is a hafnium-containing layer that may be or include metallic hafnium, and the first reactant contains one or more reducing agents. Exemplary reducing agents may be or include hydrogen (H) 2 ) Ammonia, hydrazine, one or more hydrazine compounds, one or more alcohols, cyclohexadiene, dihydropyrazine, aluminum-containing compounds, abducts of the foregoing, salts of the foregoing, plasma derivatives of the foregoing, or any combination of the foregoing.
In some examples, the first deposited layer 210 is a chromium-containing layer that may be or include chromium oxide, and the first reactant contains one or more oxidizing agents. In other examples, the first deposited layer 210 is an aluminum-containing layer that may be or include aluminum oxide, and the first reactant contains one or more oxidizing agents. In a further example, the first deposited layer 210 is a hafnium-containing layer that may be or include hafnium oxide, and the first reactant contains one or more oxidizing agents. Exemplary oxidants may be or include water (e.g., steam), oxygen (O) 2 ) Atomic oxygen, ozone, nitrous oxide, one or more peroxides, one or more alcohols, plasmas of the foregoing, or any combination thereof.
In one or more examples, the first deposited layer 210 is a chromium-containing layer that may be or include chromium nitride, and the first reactant contains one or more nitriding agents. In other examples, the first deposited layer 210 is an aluminum-containing layer that may be or include aluminum nitride, and the first reactant contains one or more nitridation agents. In some examples, the first deposited layer 210 is a hafnium-containing layer that may be or include hafnium nitride, and the first reactant contains one or more nitridation agents. Exemplary nitriding agents may be or include ammonia, atomic nitrogen, one or more hydrazines, nitric oxide, plasmas of the foregoing, or any combination of the foregoing.
In one or more examples, the first deposited layer 210 is a chromium-containing layer that may be or include chromium silicide, and the first reactant contains one or more silicon precursors. In some examples, the first deposited layer 210 is an aluminum-containing layer that may be or include aluminum silicide, and the first reactant contains one or more silicon precursors. In other examples, the first deposited layer 210 is a hafnium-containing layer that may be or include hafnium silicide, and the first reactant contains one or more silicon precursors. Exemplary silicon precursors may be or include silane, disilane, trisilane, tetrasilane, pentasilane, hexasilane, monochlorosilane, dichlorosilane, trichlorosilane, tetrachlorosilane, hexachlorosilane, substituted silane, plasma derivatives of the foregoing, or any combination of the foregoing.
In some examples, the first deposited layer 210 is a chromium-containing layer that may be or include chromium carbide, and the first reactant contains one or more carbon precursors. In other examples, the first deposited layer 210 is an aluminum-containing layer that may be or include aluminum carbide, and the first reactant contains one or more carbon precursors. In further examples, the first deposited layer 210 is a hafnium-containing layer that may be or include hafnium carbide, and the first reactant contains one or more carbon precursors. Exemplary carbon precursors may be or include one or more alkanes, one or more alkenes, one or more alkynes, substituents of the foregoing, plasmas of the foregoing, or any combination of the foregoing.
At block 130, the aerospace component 202 is exposed to a second precursor and a second reactant to form a second deposited layer 220 on the first deposited layer 210 by an ALD process, thus producing a nanolaminate film. The first deposition layer 210 and the second deposition layer 220 have different compositions from each other. In some examples, the first precursor is a different precursor than the second precursor, such as the first precursor is a source of a first type of metal and the second precursor is a source of a second type of metal, and the first and second types of metals are different.
The second precursor may be or include one or more aluminum precursors, one or more hafnium precursors, one or more yttrium precursors, or any combination thereof. The second reactant may be any other reactant that serves as the first reactant. For example, as described and discussed above, the second reactant may be or include one or more reducing agents, one or more oxidizing agents, one or more nitriding agents, one or more silicon precursors, one or more carbon precursors, or any combination of the foregoing. During the ALD process, each of the second precursor and the second reactant may independently include one or more carrier gases . One or more purge gases may be flowed across the aerospace component and/or throughout the processing chamber between the second precursor and the exposure of the second reactant. In some examples, the same gas may be used as a carrier gas or purge gas. Exemplary carrier gases and purge gases may independently be or include nitrogen (N) 2 ) Argon, helium, neon, hydrogen (H) 2 ) Or one or more of any combination of the above.
In one or more embodiments, the second deposited layer 220 comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof. In one or more examples, if the first deposited layer 210 contains aluminum oxide or aluminum nitride, the second deposited layer 220 does not contain aluminum oxide or aluminum nitride. Likewise, if the first deposited layer 210 contains hafnium oxide or hafnium nitride, the second deposited layer 220 does not contain hafnium oxide or hafnium nitride.
Each cycle of the ALD process includes exposing the aerospace component to a second precursor, performing a pump-purge, exposing the aerospace component to a second reactant, and performing a pump-purge to form a second deposited layer 220. The order of the second precursor and the second reactant may be reversed such that the ALD cycle includes exposing the surface of the aerospace component to the second reactant, performing a pump-purge, exposing the aerospace component to the second precursor, and performing a pump-purge to form the second deposited layer 220.
In one or more examples, during each ALD cycle, the aerospace component 202 is exposed to the second precursor for about 0.1 seconds to about 10 seconds, to the second reactant for about 0.1 seconds to about 10 seconds, and to the pump-purge for about 0.5 seconds to about 30 seconds. In some examples, during each ALD cycle, the aerospace component 202 is exposed to the second precursor for about 0.5 seconds to about 3 seconds, to the second reactant for about 0.5 seconds to about 3 seconds, and to the pump-purge for about 1 second to about 10 seconds.
Each ALD cycle is repeated from 2, 3, 4, 5, 6, 8, about 10, about 12, or about 15 to about 18, about 20, about 25, about 30, about 40, about 50, about 65, about 80, about 100, about 120, about 150, about 200, about 250, about 300, about 350, about 400, about 500, about 800, about 1,000, or more times to form the second deposited layer 220. For example, the number of the cells to be processed, each ALD cycle is repeated from 2 to about 1,000, 2 to about 800, 2 to about 500, 2 to about 300, 2 to about 250, 2 to about 200, 2 to about 150, 2 to about 120, 2 to about 100, 2 to about 80, 2 to about 50, 2 to about 30, 2 to about 20, 2 to about 15, 2 to about 10, 2 to about 5, about 8 to about 1,000, about 8 to about 800, about 8 to about 500, about 8 to about 300, about 8 to about 250, about 8 to about 200, about 8 to about 150, about 8 to about 120, about 8 to about 100, about 8 to about 80, about from about 8 to about 50, from about 8 to about 30, from about 8 to about 20, from about 8 to about 15, from about 8 to about 10, from about 20 to about 1,000, from about 20 to about 800, from about 20 to about 500, from about 20 to about 300, from about 20 to about 250, from about 20 to about 200, from about 20 to about 150, from about 20 to about 120, from about 20 to about 100, from about 20 to about 80, from about 20 to about 50, from about 20 to about 30, from about 50 to about 1,000, from about 50 to about 500, from about 50 to about 350, from about 50 to about 300, from about 50 to about 250, from about 50 to about 150, or about 50 times to about 100 times to form the second deposition layer 220.
The second deposited layer 220 may have a thickness of about 0.1nm, about 0.2nm, about 0.3nm, about 0.4nm, about 0.5nm, about 0.8nm, about 1nm, about 2nm, about 3nm, about 5nm, about 8nm, about 10nm, about 12nm, or about 15nm to about 18nm, about 20nm, about 25nm, about 30nm, about 40nm, about 50nm, about 60nm, about 80nm, about 100nm, about 120nm, or about 150 nm. For example, the number of the cells to be processed, the second deposited layer 220 can have a thickness of about 0.1nm to about 150nm, about 0.2nm to about 120nm, about 0.2nm to about 100nm, about 0.2nm to about 80nm, about 0.2nm to about 50nm, about 0.2nm to about 40nm, about 0.2nm to about 30nm, about 0.2nm to about 20nm, about 0.2nm to about 10nm, about 0.2nm to about 5nm, about 0.2nm to about 1nm, about 0.2nm to about 0.5nm, about 0.5nm to about 150nm, about 0.5nm to about 120nm, about 0.5nm to about 100nm, about 0.5nm to about 80nm, about 0.5nm to about 50nm, about 0.5nm to about 40nm, about 0.5nm to about 30nm, about 0.5nm to about 20nm a thickness of about 0.5nm to about 10nm, about 0.5nm to about 5nm, about 0.5nm to about 1nm, about 2nm to about 150nm, about 2nm to about 120nm, about 2nm to about 100nm, about 2nm to about 80nm, about 2nm to about 50nm, about 2nm to about 40nm, about 2nm to about 30nm, about 2nm to about 20nm, about 2nm to about 10nm, about 2nm to about 5nm, about 2nm to about 3nm, about 10nm to about 150nm, about 10nm to about 120nm, about 10nm to about 100nm, about 10nm to about 80nm, about 10nm to about 50nm, about 10nm to about 40nm, about 10nm to about 30nm, about 10nm to about 20nm, or about 10nm to about 15 nm.
In some examples, the first deposited layer 210 is a chromium-containing layer containing chromium oxide, chromium nitride, or a combination thereof; and the second deposited layer 220 contains one or more of aluminum oxide, aluminum nitride, hafnium oxide, hafnium silicate, titanium oxide, or any combination thereof.
At block 140, the method 100 includes determining whether a desired thickness of the nanolaminate film stack 230 has been achieved. If the desired thickness of the nanolaminate film stack 230 has been achieved, then move to block 150. If the desired thickness of nanolaminate film stack 230 has not been achieved, another deposition cycle is started: i.e., a first deposition layer 210 is deposited by a vapor deposition process at block 120 and a second deposition layer 220 is deposited by an ALD process at block 130. The deposition cycle is repeated until the desired thickness of the nanolaminate film stack 230 is achieved.
In one or more embodiments, the protective coating 200 or nanolaminate film stack 230 can contain from 1, 2, 3, 4, 5, 6, 7, 8, or 9 pairs of first and second deposited layers 210, 220 to about 10 pairs, about 12 pairs, about 15 pairs, about 20 pairs, about 25 pairs, about 30 pairs, about 40 pairs, about 50 pairs, about 65 pairs, about 80 pairs, about 100 pairs, about 120 pairs, about 150 pairs, about 200 pairs, about 250 pairs, about 300 pairs, about 500 pairs, about 800 pairs, or about 1,000 pairs of first and second deposited layers 210, 220. For example, the number of the cells to be processed, the nanolaminate film stack 230 can comprise 1 to about 1,000 pairs, 1 to about 800 pairs, 1 to about 500 pairs, 1 to about 300 pairs, 1 to about 250 pairs, 1 to about 200 pairs, 1 to about 150 pairs, 1 to about 120 pairs, 1 to about 100 pairs, 1 to about 80 pairs, 1 to about 65 pairs, 1 to about 50 pairs, 1 to about 30 pairs, 1 to about 20 pairs, 1 to about 15 pairs, 1 to about 10 pairs, 1 to about 8 pairs, 1 to about 6 pairs, 1 to 5 pairs, 1 to 4 pairs, 1 to 3 pairs, about 5 to about 150 pairs, about 5 to about 120 pairs the first and second deposited layers 210, 220 may be about 5 to about 100 pairs, about 5 to about 80 pairs, about 5 to about 65 pairs, about 5 to about 50 pairs, about 5 to about 30 pairs, about 5 to about 20 pairs, about 5 to about 15 pairs, about 5 to about 10 pairs, about 5 to about 8 pairs, about 5 to about 7 pairs, about 10 to about 150 pairs, about 10 to about 120 pairs, about 10 to about 100 pairs, about 10 to about 80 pairs, about 10 to about 65 pairs, about 10 to about 50 pairs, about 10 to about 30 pairs, about 10 to about 20 pairs, about 10 to about 15 pairs, or about 10 to about 12 pairs.
The protective coating 200 or nanolaminate film stack 230 can have a thickness of about 1nm, about 2nm, about 3nm, about 5nm, about 8nm, about 10nm, about 12nm, about 15nm, about 20nm, about 30nm, about 50nm, about 60nm, about 80nm, about 100nm or about 120nm to about 150nm, about 180nm, about 200nm, about 250nm, about 300nm, about 350nm, about 400nm, about 500nm, about 800nm, about 1,000nm, about 2,000nm, about 3,000nm, about 4,000nm, about 5,000nm, about 6,000nm, about 7,000nm, about 8,000nm, about 9,000nm or about 10,000nm or more. In some examples, the protective coating 200 or the nanolaminate film stack 230 can have a thickness of less than 10 μm (e.g., less than 10,000 nm). For example, the number of the cells to be processed, the protective coating 200 or nanolaminate film stack 230 can have a thickness of about 1nm to less than 10,000nm, about 1nm to about 8,000nm, about 1nm to about 6,000nm, about 1nm to about 5,000nm, about 1nm to about 3,000nm, about 1nm to about 2,000nm, about 1nm to about 1,500nm, about 1nm to about 1,000nm, about 1nm to about 500nm, about 1nm to about 400nm, about 1nm to about 300nm, about 1nm to about 250nm, about 1nm to about 200nm, about 1nm to about 150nm, about 1nm to about 100nm, about 1nm to about 80nm, about 1nm to about 50nm, about 20nm to about 500nm, about 20nm to about 400nm, about 20nm to about 300nm, about 20nm to about 250nm, about 20nm to about 200nm a thickness of about 20nm to about 150nm, about 20nm to about 100nm, about 20nm to about 80nm, about 20nm to about 50nm, about 30nm to about 400nm, about 30nm to about 200nm, about 50nm to about 500nm, about 50nm to about 400nm, about 50nm to about 300nm, about 50nm to about 250nm, about 50nm to about 200nm, about 50nm to about 150nm, about 50nm to about 100nm, about 80nm to about 250nm, about 80nm to about 200nm, about 80nm to about 150nm, about 80nm to about 100nm, about 50nm to about 80nm, about 100nm to about 500nm, about 100nm to about 400nm, about 100nm to about 300nm, about 100nm to about 250nm, about 100nm to about 200nm, or about 100nm to about 150 nm.
At block 150, the nanolaminate film stack 230 may optionally be exposed to one or more annealing processes. In some examples, the nanolaminate film stack 230 may be transformed into a coalescing film 240 during the annealing process. During the annealing process, the high temperature coalesces the layers within the nanolaminate film stack 230 into a single structure in which the new crystalline component enhances the integrity and protection of the coalesced film 240. In other examples, the nanolaminate film stack 230 may be heated and densified during the annealing process, but remain as a nanolaminate film stack. The annealing process may be or include thermal annealing, plasma annealing, ultraviolet annealing, laser annealing, or any combination thereof.
The nanolaminate film stack 230 disposed on the aerospace component 202 is heated to a temperature of about 400 ℃, about 500 ℃, about 600 ℃, or about 700 ℃ to about 750 ℃, about 800 ℃, about 900 ℃, about 1,000 ℃, about 1,100 ℃, about 1,200 ℃ or more during the annealing process. For example, the nanolaminate film stack 230 disposed on the aerospace component 202 is heated to a temperature of about 400 ℃ to about 1,200 ℃, about 400 ℃ to about 1,100 ℃, about 400 ℃ to about 1,000 ℃, about 400 ℃ to about 900 ℃, about 400 ℃ to about 800 ℃, about 400 ℃ to about 700 ℃, about 400 ℃ to about 600 ℃, about 400 ℃ to about 500 ℃, about 550 ℃ to about 1,200 ℃, about 550 ℃ to about 1,100 ℃, about 550 ℃ to about 1,000 ℃, about 550 ℃ to about 900 ℃, about 550 ℃ to about 800 ℃, about 550 ℃ to about 700 ℃, about 550 ℃ to about 600 ℃, about 700 ℃ to about 1,200 ℃, about 700 ℃ to about 1,100 ℃, about 700 ℃ to about 1,000 ℃, about 700 ℃ to about 900 ℃, about 700 ℃ to about 800 ℃, about 850 ℃ to about 1,200 ℃, about 850 ℃ to about 1,100 ℃, about 850 ℃ to about 1,000 ℃, or about 850 ℃ to about 900 ℃.
During the annealing process, the nanolaminate film stack 230 may be under vacuum at low pressure (e.g., from 0.1 torr to less than 760 torr), at ambient pressure (e.g., about 760 torr), and/or at high pressure (e.g., from greater than 760 torr (1 atm) to about 3,678 torr (about 5 atm)). During the annealing process, the nanolaminate film stack 230 may be exposed to an atmosphere containing one or more gases. Exemplary gases used during the annealing process may be or include nitrogen (N) 2 ) Argon, helium, hydrogen (H) 2 ) Oxygen (O) 2 ) Or any combination of the above gases. The annealing process may be performed for about 0.01 seconds to about 10 minutes. In some examples, the annealing process may be a thermal anneal and last for about 1 minute, about 5 minutes, about 10 minutes, about 30 minutes to about 1 hour, about 2 hours, about 5 hours, or about 24 hours. In other examples, the annealing process may be a laser anneal or a spike anneal and last for about 1 millisecond, about 100 milliseconds, or about 1 second to about 5 seconds, about 10 seconds, or about 15 seconds.
The protective coating 250 or coalescing film 240 may have a thickness of about 1nm, about 2nm, about 3nm, about 5nm, about 8nm, about 10nm, about 12nm, about 15nm, about 20nm, about 30nm, about 50nm, about 60nm, about 80nm, about 100nm, or about 120nm to about 150nm, about 180nm, about 200nm, about 250nm, about 300nm, about 350nm, about 400nm, about 500nm, about 700nm, about 850nm, about 1,000nm, about 1,200nm, about 1,500nm, about 2,000nm, about 3,000nm, about 4,000nm, about 5,000nm, about 6,000nm, about 7,000nm, about 8,000nm, about 9,000nm, about 10,000nm, or more. In some examples, the protective coating 250 or coalescing film 240 may have a thickness of less than 10 μm (e.g., less than 10,000 nm). For example, the number of the cells to be processed, the protective coating 250 or coalescing film 240 can have a thickness of about 1nm to less than about 10,000nm, about 1nm to about 8,000nm, about 1nm to about 6,000nm, about 1nm to about 5,000nm, about 1nm to about 3,000nm, about 1nm to about 2,000nm, about 1nm to about 1,500nm, about 1nm to about 1,000nm, about 1nm to about 500nm, about 1nm to about 400nm, about 1nm to about 300nm, about 1nm to about 250nm, about 1nm to about 200nm, about 1nm to about 150nm, about 1nm to about 100nm, about 1nm to about 80nm, about 1nm to about 50nm, about 20nm to about 500nm, about 20nm to about 400nm, about 20nm to about 300nm, about 20nm to about 250nm, about 20nm to about 200nm, about 20nm to about 150nm a thickness of about 20nm to about 100nm, about 20nm to about 80nm, about 20nm to about 50nm, about 30nm to about 400nm, about 30nm to about 200nm, about 50nm to about 500nm, about 50nm to about 400nm, about 50nm to about 300nm, about 50nm to about 250nm, about 50nm to about 200nm, about 50nm to about 150nm, about 50nm to about 100nm, about 80nm to about 250nm, about 80nm to about 200nm, about 80nm to about 150nm, about 80nm to about 100nm, about 50nm to about 80nm, about 100nm to about 500nm, about 100nm to about 400nm, about 100nm to about 300nm, about 100nm to about 250nm, about 200nm, or about 100nm to about 150 nm.
In one or more embodiments, the protective coatings 200 and 250 can have relatively high uniformity. The protective coatings 200 and 250 can have a uniformity of less than 50%, less than 40%, or less than 30% of the thickness of the respective protective coating 200, 250. The protective coatings 200 and 250 can independently have a uniformity of about 0%, about 0.5%, about 1%, about 2%, about 3%, about 5%, about 8%, or about 10% to about 12%, about 15%, about 18%, about 20%, about 22%, about 25%, about 28%, about 30%, about 35%, about 40%, about 45%, or less than 50% of thickness. For example, the number of the cells to be processed, the protective coatings 200 and 250 can independently have a thickness of about 0% to about 50%, about 0% to about 40%, about 0% to about 30%, about 0% to less than 30%, about 0% to about 28%, about 0% to about 25%, about 0% to about 20%, about 0% to about 15%, about 0% to about 10%, about 0% to about 8%, about 0% to about 5%, about 0% to about 3%, about 0% to about 2%, about 0% to about 1%, about 1% to about 50%, about 1% to about 40%, about 1% to about 30%, about 1% to less than 30%, about 1% to about 28%, about 1% to about 25%, about 1% to about 20%, about 1% to about 15%, about about 1% to about 10%, about 1% to about 8%, about 1% to about 5%, about 1% to about 3%, about 1% to about 2%, about 5% to about 50%, about 5% to about 40%, about 5% to about 30%, about 5% to less than 30%, about 5% to about 28%, about 5% to about 25%, about 5% to about 20%, about 5% to about 15%, about 5% to about 10%, about 5% to about 8%, about 10% to about 50%, about 10% to about 40%, about 10% to about 30%, about 10% to less than 30%, about 10% to about 28%, about 10% to about 25%, about 10% to about 20%, about 10% to about 15%, or about 10% to about 12% uniformity.
In some embodiments, the included protective coatings 200 and/or 250 can be formed or otherwise created from varying proportions of metals throughout the material, such as doped metals or graded metals contained within alkali metals, where any of the metals can be in any chemically oxidized form (e.g., oxides, nitrides, silicides, carbides, or combinations thereof). In one or more examples, the first deposition layer 210 is deposited to a first thickness, and the second deposition layer 220 is deposited to a second thickness, wherein the first thickness is less than or greater than the second thickness. For example, the first deposited layer 210 may be deposited during the block 120 by two or more (3, 4, 5, 6, 7, 8, 9, 10, or more) ALD cycles to produce the same amount of sub-layers, respectively (e.g., one sub-layer per ALD cycle), and then the second deposited layer 220 may be deposited by one ALD cycle or a number of ALD cycles that is less than or greater than the number of ALD cycles used to deposit the first deposited layer 210. In other examples, the first deposited layer 210 may be deposited to a first thickness by CVD and the second deposited layer 220 is deposited to a second thickness by ALD, the second thickness being less than the first thickness.
In other embodiments, an ALD process may be used to deposit the first deposited layer 210 and/or the second deposited layer 220, wherein the deposited material is doped by including a dopant precursor during the ALD process. In some examples, the dopant precursor may be included in a separate ALD cycle relative to the ALD cycle used to deposit the substrate material. In other examples, the dopant precursor may be co-implanted with any of the chemical precursors used during the ALD cycle. In other embodiments, the dopant precursor may be implanted independently of the chemical precursor during the ALD cycle. For example, one ALD cycle may include exposing the aerospace component to: a first precursor, a pump-purge, a dopant precursor, a pump-purge, a first reactant, and a pump-purge to form a deposited layer. In some examples, one ALD cycle may include exposing an aerospace component to: the dopant precursor, the pump-purge, the first reactant, and the pump-purge to form the deposited layer. In other examples, one ALD cycle may include exposing an aerospace component to: a first precursor, a dopant precursor, a pump-purge, a first reactant, and a pump-purge to form a deposited layer.
In one or more embodiments, the first deposited layer 210 and/or the second deposited layer 220 contains one or more base materials and one or more doping materials. The substrate material is or comprises alumina, chromia, or a combination of alumina and chromia. The doping material is or contains hafnium, hafnium oxide, yttrium oxide, cerium oxide, silicon oxide, nitrides of the above or any combination of the above. Any of the precursors or reactants described herein may be used as doping precursors or dopants. Exemplary cerium precursors may be or include one or more tetrakis (2, 6-tetramethyl-3, 5-heptanoate) cerium (IV) (Ce (TMHD) 4 ) Tris (cyclopentadienyl) cerium ((C) 5 H 5 ) 3 Ce), tris (propylcyclopentadiene) cerium ([ (C) 3 H 7 )C 5 H 4 ] 3 Ce), tris (tetramethylcyclopentadiene) cerium ([ (CH) 3 ) 4 C 5 H] 3 Ce) or any combination of the above.
The doping material may have a concentration of about 0.01 atomic percent (at%), about 0.05at%, about 0.08at%, about 0.1at%, about 0.5at%, about 0.8at%, about 1at%, about 1.2at%, about 1.5at%, about 1.8at%, or about 2at% to about 2.5at%, about 3at%, about 3.5at%, about 4at%, about 5at%, about 8at%, about 10at%, about 15at%, about 20at%, about 25at%, or about 30at% within the first deposited layer 210, the second deposited layer 220, the nanolaminate film stack 230, and/or the coalescing film 240. For example, the number of the cells to be processed, the doping material may have about 0.01at% to about 30at%, about 0.01at% to about 25at%, about 0.01at% to about 20at%, about 0.01at% to about 15at%, about 0.01at% to about 12at%, about 0.01at% to about 10at%, about 0.01at% to about 8at%, about 0.01at% to about 5at%, about 0.01at% to about 4at%, about 0.01at% to about 3at%, about 0.01at% to about 2.5at%, about 0.01at% to about 2at%, about 0.01at% to about 1.5at%, about 0.01at% to about 1at%, about 0.01at% to about 0.5at%, about 0.01at% to about 0.1at%, about 0.1at% to about 30at%, about 0.1at% to about 25at% to about 20at%, about 0.01at% to about 20 at%; a concentration of about 0.1at% to about 15at%, about 0.1at% to about 12at%, about 0.1at% to about 10at%, about 0.1at% to about 8at%, about 0.1at% to about 5at%, about 0.1at% to about 4at%, about 0.1at% to about 3at%, about 0.1at% to about 2.5at%, about 0.1at% to about 2at%, about 0.1at% to about 1.5at%, about 0.1at% to about 1at%, about 0.1at% to about 0.5at%, about 1at% to about 30at%, about 1at% to about 25at%, about 1at% to about 20at%, about 1at% to about 15at%, about 1at% to about 12at%, about 1at% to about 10at%, about 1at% to about 8at%, about 1at% to about 5at%, about 1% to about 4at%, about 1at% to about 3at%, about 1.5at%, about 1at% to about 1at%, about 2.5at% to about 2at%, or about 1.1 at% to about 2 at%.
In one or more embodiments, the protective coating 200 includes a nanolaminate film stack 230, the nanolaminate film stack 230 having a first deposited layer 210 and a second deposited layer 220, the first deposited layer containing aluminum oxide (or other substrate material) and the second deposited layer containing hafnium oxide (or other doping material), or the first deposited layer containing hafnium oxide (or other doping material) and the second deposited layer containing aluminum oxide (or other substrate material). In one or more examples, the protective coating 200 and/or 250 contains a combination of aluminum oxide and hafnium oxide, hafnium doped aluminum oxide, hafnium aluminate, or any combination thereof. For example, the protective coating 200 includes a nanolaminate film stack 230, the nanolaminate film stack 230 having a first deposited layer 210 and a second deposited layer 220, the first deposited layer containing aluminum oxide and the second deposited layer containing hafnium oxide, or the first deposited layer containing hafnium oxide and the second deposited layer containing aluminum oxide. In other examples, the protective coating 250 includes a coalescing film 240 formed from a layer of aluminum oxide or hafnium oxide. In one or more embodiments, the protective coating 200 or 250 has a concentration of about 0.01at%, about 0.05at%, about 0.08at%, about 0.1at%, about 0.5at%, about 0.8at%, or about 1at% to about 1.2at%, about 1.5at%, about 1.8at%, about 2at%, about 2.5at%, about 3at%, about 3.5at%, about 4at%, about 4.5at%, or about 5at% within the nanolaminate film stack 230 or the coalescing film 240 containing aluminum oxide (or other substrate material). For example, the protective coating 200 or 250 may have about 0.01at% to about 10at%, about 0.01at% to about 8at%, about 0.01at% to about 5at%, about 0.01at% to about 4at%, about 0.01at% to about 3at%, about 0.01at% to about 2.5at%, about 0.01at% to about 2at%, about 0.01at% to about 1.5at%, about 0.01at% to about 1at%, about 0.01at% to about 0.5at%, about 0.01at% to about 0.1at%, about 0.01at% to about 0.05at%, about 0.1at% to about 5at%, about 0.1at% to about 4at%, about 0.1at% to about 3at%, about 0.1at% to about 2.5at%, about 0.1at% to about 2.1 at%, about 1.1 at% to about 2.5at%, about 1.1 at% to about 1.5at%, about 1.5% to about 1at%, about 1.5.5 at% to about 5at%, about 1.5at% to about 1at%, about 1.5.5 at% to about 1at% >, about 0.1at% to about 5at% >, about 0.0.01 at% to about 5at%, a concentration of hafnium (or other doping material) of about 1at% to about 2at% or about 1at% to about 1.5 at%.
Fig. 3A and 3B are schematic illustrations of an aerospace component 300 containing a protective coating 330 according to one or more embodiments described and discussed herein. Fig. 3A is a perspective view of an aerospace component 300, and fig. 3B is a cross-sectional view of the aerospace component 300. As described and discussed herein, the protective coating 330 may be or include one or more nanolaminate film stacks, one or more polymeric films, or any combination thereof. For example, the protective coating 330 can be or include the protective coating 200, the protective coating 200 containing the nanolaminate film stack 230 (fig. 2A); and/or protective coating 330 may be or include protective coating 250, the protective coating 250 comprising a polymeric film (fig. 2B). Similarly, the aerospace component 300 may be or include the aerospace component 202 (fig. 2A-2B). An aerospace component including aerospace component 300 as described or discussed herein may be or include one or more of the following or a portion of a component thereof: turbines, aircraft, spacecraft, or other devices (e.g., compressors, pumps, turbofans, superchargers, or the like) that may include one or more turbines. The exemplary aerospace component 300 may be or include turbine blades, turbine vanes, support members, frames, ribs, fins, cylindrical fins, combustor fuel nozzles, combustor shrouds, internal cooling passages, or any combination thereof.
The aerospace component 300 has one or more exterior or exterior surfaces 310 and one or more interior or interior surfaces 320. The interior surface 320 may define one or more cavities 302 extending within or contained within the aerospace component 300. The cavity 302 may be a channel, passageway, space, or the like disposed between the interior surfaces 320. The cavity 302 may have one or more openings 304, 306, and 308. Each cavity 302 within the aerospace component 300 generally has an aspect ratio (e.g., length divided by width) greater than 1. The methods described and discussed herein provide steps for depositing and/or otherwise forming protective coatings 200 and 250 on interior surface 320 and/or within chamber 302 at high aspect ratios (greater than 1).
The aspect ratio of the cavity 302 may be from about 2, about 3, about 5, about 8, about 10, or about 12 to about 15, about 20, about 25, about 30, about 40, about 50, about 65, about 80, about 100, about 120, about 150, about 200, about 250, about 300, about 500, about 800, about 1,000, or more. For example, the number of the cells to be processed, the aspect ratio of the cavity 302 may be from about 2 to about 1,000, about 2 to about 500, about 2 to about 200, about 2 to about 150, about 2 to about 120, about 2 to about 100, about 2 to about 80, about 2 to about 50, about 2 to about 40, about 2 to about 30, about 2 to about 20, about 2 to about 10, about 2 to about 8, about 5 to about 1,000, about 5 to about 500, about 5 to about 200, about 5 to about 150, about 5 to about 120, about 5 to about 100, about 5 to about 80, about 5 to about 50, about 5 to about 40, about 5 to about 30 about 5 to about 20, about 5 to about 10, about 5 to about 8, about 10 to about 1,000, about 10 to about 500, about 10 to about 200, about 10 to about 150, about 10 to about 120, about 10 to about 100, about 10 to about 80, about 10 to about 50, about 10 to about 40, about 10 to about 30, about 10 to about 20, about 20 to about 1,000, about 20 to about 500, about 20 to about 200, about 20 to about 150, about 20 to about 120, about 20 to about 100, about 20 to about 80, about 20 to about 50, about 20 to about 40, or about 20 to about 30.
The aerospace component 300 and any surfaces thereof, including the one or more exterior side or exterior surfaces 310 and/or the one or more interior side or interior surfaces 320, may be made of one or more metals, including or otherwise including one or more metals, such as nickel, aluminum, chromium, iron, titanium, hafnium, one or more nickel superalloys, one or more inconel, one or more Hastelloy (Hastelloy) alloys, or any combination thereof. The protective coating 330 may be deposited, formed, or otherwise created on any surface of the aerospace component 300, including one or more exterior side or exterior surfaces 310 and/or one or more interior side or interior surfaces 320.
The protective coating as described and discussed herein may be or include one or more of a laminate film stack, a polymeric film, a gradient (graded) composition, and/or a monolithic film deposited or otherwise formed on any surface of an aerospace component. In some examples, the protective coating contains from about 1% to about 100% chromium oxide. The protective coating is conformal and substantially conforms to the surface topology (topography) coating roughened surface features, including surface features in open, blind, and non-line-of-sight areas of the surface. The protective coating does not substantially increase the surface roughness, and in some embodiments, the protective coating can reduce the surface roughness by conformally coating the roughness until it coalesces. The protective coating may contain particles from the deposition that are substantially greater than the roughness of the aerospace component, but which are believed to separate from the monolithic film. The protective coating adheres substantially well and is pinhole free. The thickness of the protective coating varies within 40% 1 sigma. In one or more embodiments, the thickness variation is less than 20%, 10%, 5%, 1%, or 0.1% 1-sigma.
The protective coating may provide corrosion and oxidation protection when the aerospace component is exposed to air, oxygen, sulfur, and/or sulfur compounds, acids, bases, salts (e.g., na, K, mg, li or Ca salts), or any combination of the above.
One or more embodiments described herein include methods of preserving an underlying chromium-containing alloy using a method of producing alternating nanolaminates of a first material (e.g., chromium oxide, aluminum oxide, and/or aluminum nitride) and another secondary material. The secondary material may be or include aluminum oxide, aluminum nitride, aluminum oxynitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium silicate, hafnium silicide, hafnium nitride, titanium oxide, titanium nitride, titanium silicide, titanium silicate, dopants of the foregoing, alloys of the foregoing, or any combination of the foregoing. The resulting films can be used as nanolaminate film stacks or the films can be subjected to an anneal in which the high temperature coalesces the films into a single structure in which the new crystalline component enhances the integrity and protection of this overlying film.
In certain embodiments, the chromium precursor (at a temperature of about 0 ℃ to about 250 ℃) is delivered to the aerospace component via vapor phase delivery for a predetermined pulse length of 5 seconds. During this process, the deposition reactor was operated under a nitrogen carrier gas stream (about 1,000sccm total) with the chamber maintained at a predetermined temperature of about 350 ℃ and a pressure of about 3.5 torr. After the pulse of chromium precursor, the chamber is then pumped and purged of all necessary gases and byproducts for a defined amount of time. Subsequently, water was pulsed into the chamber for 0.1 seconds at a chamber pressure of about 3.5 torr. Additional chamber purging (or pumping/purging) is then performed to remove any excess reactants and reaction byproducts from the reactor. This process is repeated as many times as necessary to achieve the desired film thickness for the target CrOx film.
For the secondary film (example: alumina), the precursor trimethylaluminum (at a temperature of about 0 ℃ to about 30 ℃) is delivered to the aerospace component via vapor phase delivery for a predetermined pulse length of 0.1 seconds. During this process, the deposition reactor is operated under a nitrogen carrier gas stream (about 100sccm total) with the chamber maintained at a predetermined temperature of about 150 ℃ to about 350 ℃ and a pressure of about 1 torr to about 5 torr. After the pulse of trimethylaluminum, thenThe chamber is pumped and purged of all necessary gases and byproducts for a fixed amount of time. Subsequently, the water vapor was pulsed into the chamber at a chamber pressure of about 3.5 torr for about 0.1 seconds. Additional chamber purging is then performed to remove any excess reactants and reaction byproducts in the reactor. Repeating the process as many times as necessary to make the target Al 2 O 3 The film reaches the desired film thickness. The aerospace component is then subjected to an annealing furnace at a temperature of about 500 ℃ for about one hour under a stream of inert nitrogen at about 500 sccm.
Doping/alloying ALP layer process
One or more embodiments described herein include methods of preserving an underlying aerospace component by using a doped chromium-containing film. This film is or includes a chromium-containing film produced by using a chromium precursor, and one or more oxygen sources or oxidants (for chromium oxide deposition), nitrogen sources or nitridation agents (for chromium nitride deposition), one or more carbon sources or carbon precursors (for chromium carbide deposition), a silicon source or silicon precursor (for chromium silicide deposition), or any combination of the foregoing. The doping precursor (or dopant) may be or include a source of aluminum, yttrium, hafnium, silicon, tantalum, zirconium, strontium, lanthanum, neodymium, holmium, barium, lutetium, dysprosium, samarium, terbium, erbium, thulium, titanium, niobium, manganese, scandium, europium, tin, cerium, or any combination thereof. The precursor used may be or include, but is not limited to, one or more chromium precursors, as described and discussed above. Chromium precursors may be used during the deposition process to produce doped films containing ternary materials (e.g., YCrO or CrAlO). The resulting films can be used as nanolaminate film stacks or the films can be subjected to an anneal in which the high temperature coalesces the films into a single structure in which the new crystalline component enhances the integrity and protection of this overlying film.
During this process, the deposition reactor is operated at a nitrogen carrier gas flow of about 1,000sccm, wherein the chamber is maintained at a predetermined temperature of about 350 ℃ and a pressure of about 3.5 torr.
This chromium precursor/pump-purge/water/pump-purge sequence was repeated as many times as necessary to achieve the desired film thickness for the target CrOx film. This process forms a first CrOx layer stack having a desired thickness.
After deposition of the first CrOx stack, a third reactant, tetrakis (ethylmethylamino) hafnium (TEMAH), was pulsed into the chamber for 5 seconds at a chamber pressure of about 1.6 torr. Final chamber pumping/purging is then performed to remove any excess reactants and reaction byproducts in the reactor. Subsequently, the second reactant water was pulsed into the chamber for 3 seconds at a chamber pressure of about 1.2 torr. A second chamber pump-down/purge is then performed to remove any excess reactants and reaction byproducts in the reactor. This single sequence forms a second HfOx layer stack having a single layer (HfOx) thickness.
This first CrOx/second HfOx layer stack sequence is repeated as many times as necessary to achieve the desired film thickness for the target Hf-doped chromium oxide film (CrOx: hf). The resulting CrOx: hf film may be used as a nanolaminate film stack or film, and may undergo an anneal in which high temperature activated Hf diffuses into the CrOx layer, wherein a more uniform distribution of Hf in the CrOx: hf film enhances the integrity and protection of this capping film.
In particular embodiments, the selected aluminum precursor trimethylaluminum (TMAl) (at a temperature of about 0 ℃ to about 30 ℃) is delivered to the aerospace component via vapor phase delivery for a predetermined pulse length of about 0.1 seconds to about 1 second. During this process, the deposition reactor is operated under a stream of nitrogen carrier gas of about 100sccm, wherein the chamber is maintained at a predetermined temperature of about 150 ℃ to about 350 ℃ and a pressure of about 1 torr to about 5 torr. After the pulse of trimethylaluminum, the chamber is then pumped and purged of all necessary gases and side products for a defined amount of timeThe product is obtained. Subsequently, the water vapor was pulsed into the chamber for 3 seconds at a chamber pressure of about 1 torr to about 5 torr. Additional chamber purging is then performed to remove any excess reactants and reaction byproducts in the reactor. This aluminum precursor/pump-purge/water/pump-purge sequence is repeated as many times as necessary to target AlOx (e.g., al 2 O 3 ) The film reaches the desired film thickness. This process forms a first AlOx layer stack having a desired thickness.
After deposition of the first AlOx stack, the third reactant tetra (ethylmethylamino) hafnium (TEMAH) was pulsed into the chamber at a chamber pressure of about 1.6 torr for about 5 seconds. A final chamber pump/purge is then performed to remove any excess reactants and reaction byproducts in the reactor. The second reactant water was then pulsed into the chamber at a chamber pressure of about 1.2 torr for about 3 seconds. A second chamber pump/purge is then performed to remove any excess reactants and reaction byproducts in the reactor. This single sequence forms a second HfOx layer stack having a single layer (HfOx) thickness.
This first AlOx/second HfOx layer stack sequence is repeated as many times as necessary to achieve the desired film thickness for the target Hf-doped aluminum oxide film (AlOx: hf). In some examples, the resulting AlOx: hf film is used as a nanolaminate film stack. In other examples, the resulting AlOx: hf film is subjected to an anneal in which high temperatures activate the diffusion of Hf into the AlOx layer, wherein a more uniform distribution of Hf in the AlOx: hf film enhances the integrity and protection of this overcoat.
SEM shows ALD-native (as-grown) Hf doped Al on Si aerospace component 2 O 3 Cross section of the layer. SEM shows Hf-doped Al with a Hf concentration of about 0.1at% 2 O 3 Cross section of the layer. Total Al 2 O 3 Hf film thickness was about 140nm. The film comprises six Al 2 O 3 /HfO 2 And (3) laminating layers. Single Al 2 O 3 /HfO 2 The thickness of the layer stack is about 23nm. SEM shows Hf-doped Al with a Hf concentration of about 0.5at% 2 O 3 Cross section of the layer. Total Al 2 O 3 Hf filmThe thickness is about 108nm. The film contains twenty-one Al 2 O 3 /HfO 2 And (3) laminating layers. Single Al 2 O 3 /HfO 2 The thickness of the layer stack is about 5.1nm.
For about 0.1at% of the Hf doped sample, hfO is visible on SEM cross-section 2 And Al 2 O 3 Visual distinction of layers. However, SEM resolution (10 nm) limits HfO 2 And Al 2 O 3 Visual distinction of the layers in the Hf-doped samples of about 0.5 at%. SIMS for determining ALD-native Hf-doped Al on aerospace components 2 O 3 Concentration depth profile of the layer. Hf doped Al 2 O 3 The SIMS concentration depth profile of the layer is a Hf concentration of about 0.1 at%. The film contains six Al 2 O 3 /HfO 2 And (3) laminating layers. Hf doped Al 2 O 3 The SIMS concentration depth profile of the layer is a Hf concentration of about 0.5 at%. The film contains twenty-one Al 2 O 3 /HfO 2 And (3) laminating layers.
Rutherford backscattering Spectroscopy (Rutherford backscatterlng spectrometry; RBS) is ALD-native Hf-doped Al 2 O 3 The layers provide compositional analysis data. RBS analysis demonstrated six Al' s 2 O 3 /HfO 2 Bulk Al of laminated layers 2 O 3 The Hf layer has a Hf concentration of about 0.1at% and twenty-one Al 2 O 3 /HfO 2 Bulk Al of laminated layers 2 O 3 The Hf layer has a Hf concentration of about 0.5 at%.
In one or more embodiments, protective coatings comprising chromium-containing materials are desirable for many applications that form stable chromium oxide in air to protect surfaces from oxidation, acid attack, and sulfur corrosion. In the case of Fe, co and/or Ni-based alloys, chromium oxide (and alumina) is selectively formed to produce a passivated surface. However, before this selectively oxidized layer is formed, other metal elements are oxidized until the chromium oxide forms a continuous layer.
After forming the dense chromia layer, exposure to high temperatures in air (e.g., greater than 500 ℃) causes the chromia scale to thicken, wherein chromium diffuses out of the bulk metal and into the scale, and oxygen also diffuses from the air into the scale. Over time, the growth rate of the scale slows down as the scale thickens because (1) oxygen diffuses slower and (2) chromium in the bulk alloy becomes depleted. For alloys, if the chromium concentration falls below the threshold, other oxides may begin to form, which may lead to spalling or failure of the previous protective scale.
To extend the life of the chromium-containing alloy, one or more of the following methods may be used. In one or more embodiments, the method can include depositing an oxide layer that matches the composition and crystal structure of the native oxide to produce the protective coating. In other embodiments, the method may include depositing an oxide layer having a different crystal structure than the native oxide to produce the protective coating. In some embodiments, the method may include depositing an oxide layer with additional dopants that would not be present in the native oxide to produce the protective coating. In other embodiments, the method may include depositing another layer (e.g., silicon oxide or aluminum oxide) as a capping layer or in a multi-layer stack to create a protective coating.
In one or more embodiments of the method, the non-native oxide may be initially deposited onto the surface of the metal surface of an aerospace component or other substrate effective to thicken the oxide, thereby slowing oxygen diffusion to the metal surface and producing a slower absolute thickness increase of the oxide film. In some examples, the benefits of this approach may be expected in the context of a parabolic oxide scale growth curve. At thicker scale (e.g., greater than 0.5 microns to about 1.5 microns), the rate of scale thickening is reduced relative to initial growth. An oxide film having a thickness of about 100nm, about 200nm, or about 300nm to about 1 micron, about 2 microns, or about 3 microns is deposited prior to growing the thick oxide scale. The effective growth rate of the native oxide scale may be much slower at a first thickness of about 0.5 microns to about 1 micron over a given period of time. Conversely, the rate of consumption of chromium from the substrate may be slower and the time the surface may be exposed to the environment may be longer.
Oxygen diffusion may be further slowed by depositing a predetermined chromium oxide crystal structure, such as an amorphous state. Oxygen diffuses faster along the grain boundaries than in bulk crystals of chromium oxide, and thus minimizing the grain boundaries may be advantageous in slowing oxygen diffusion. Conversely, scale growth may be slower and the surface may be exposed to the environment for a longer period of time.
In other embodiments, the method may include incorporating one or more dopants into the deposited oxide while producing the protective coating. The dopant may be or include a source of aluminum, yttrium, hafnium, silicon, tantalum, zirconium, strontium, lanthanum, neodymium, holmium, barium, lutetium, dysprosium, samarium, terbium, erbium, thulium, titanium, niobium, manganese, scandium, europium, tin, cerium, or any combination thereof. The dopant may segregate to grain boundaries and alter the grain boundary diffusion rate to slow the growth rate of the oxide scale.
In one or more embodiments, an aerospace component includes a coating disposed on a surface of a substrate. The surface of the substrate comprises or contains nickel, nickel superalloys, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof. The coating has a thickness of less than 10 μm and contains an alumina layer, in some examples, the surface of the aerospace component is an interior surface within a cavity of the aerospace component. The cavity may have an aspect ratio of about 5 to about 1,000 and the coating may have a uniformity of less than 30% of the thickness across the inner surface.
Embodiments of the present disclosure further relate to any one or more of the following paragraphs:
1. a method of depositing a coating on an aerospace component, comprising: the aerospace component is sequentially exposed to a chromium precursor and a reactant to form a chromium-containing layer on a surface of the aerospace component by an atomic layer deposition process.
2. A method of depositing a coating on an aerospace component, comprising: forming a nanolaminate film stack on a surface of the aerospace component, wherein the nanolaminate film stack comprises alternating layers of a chromium-containing layer and a second deposited layer; sequentially exposing the aerospace component to a chromium precursor and a first reactant to form a chromium-containing layer on the surface by atomic layer deposition, wherein the chromium-containing layer comprises chromium oxide, chromium nitride, or a combination thereof; and sequentially exposing the aerospace component to a metal or silicon precursor and a second reactant to form a second deposited layer on the surface by atomic layer deposition, wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination of the foregoing.
3. The method of paragraph 1 or 2, wherein the chromium precursor comprises bis (cyclopentadiene) chromium, bis (pentamethylcyclopentadiene) chromium, bis (isopropylcyclopentadiene) chromium, bis (ethylbenzene) chromium, hexacarbonyl chromium, acetylacetonate chromium, hexafluoroacetylacetonate chromium, diazadien chromium, isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
4. The method of paragraph 3, wherein the diazadienchronium has the formula:
Figure BDA0002690472770000251
wherein each R and R' is independently selected from H, C C6 alkyl, aryl, acyl, alkylamide, hydrazide, silyl, aldehyde, ketone, C2C 4 alkenyl, alkynyl, or substituents of the foregoing.
5. The method of paragraph 4 wherein each R is independently C1-C6 alkyl selected from methyl, ethyl, propyl, butyl, or isomers of the foregoing and R' is H.
6. The method of paragraph 4 wherein R is tert-butyl and R' is H.
7. The method of paragraph 4 wherein the diazadienyl chromium is bis (1, 4-di-tert-butyldiazadienyl) chromium (II).
8. The method of any of paragraphs 1 to 7, wherein the reactant comprises a reducing agent and the chromium-containing layer comprises metallic chromium.
9. The method of paragraph 8, whereinThe reducing agent comprises hydrogen (H) 2 ) Ammonia, hydrazine, alcohols, cyclohexadiene, dihydropyrazines, aluminum containing compounds, abducts of the foregoing, salts of the foregoing, plasma derivatives of the foregoing, or any combination of the foregoing.
10. The method of any of paragraphs 1 to 9, wherein the reactant comprises an oxidizing agent and the chromium-containing layer comprises chromium oxide.
11. The method of paragraph 10, wherein the oxidant comprises water, oxygen (O 2 ) Atomic oxygen, ozone, nitrous oxide, peroxides, alcohols, plasmas of the foregoing, or any combination thereof.
12. The method of any of paragraphs 1 to 11, wherein the reactant comprises a nitriding agent and the chromium-containing layer comprises chromium nitride.
13. The method of paragraph 12, wherein the nitriding agent comprises ammonia, atomic nitrogen, hydrazine, a plasma of the foregoing, or any combination of the foregoing.
14. The method of any of paragraphs 1 to 13, wherein the reactant comprises a carbon precursor or a silicon precursor and the chromium-containing layer comprises chromium carbide or chromium silicide.
15. The method of paragraph 14, wherein the carbon precursor comprises an alkane, alkene, alkyne, substituents of the foregoing, a plasma of the foregoing, or any combination of the foregoing; and the silicon precursor comprises silane, disilane, substituted silane, plasmas of the foregoing, or any combination of the foregoing.
16. The method of any of paragraphs 1 to 15, further comprising forming a nanolaminate film stack on a surface of the aerospace component, wherein the nanolaminate film stack comprises alternating layers of a chromium-containing layer and a second deposited layer.
17. The method of paragraph 16, wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof.
18. The method of paragraph 16, wherein the chromium-containing layer comprises chromium oxide, chromium nitride, or a combination thereof; and wherein the second deposited layer comprises aluminum oxide, silicon nitride, hafnium oxide, hafnium silicate, or any combination thereof.
19. The method of paragraph 16, wherein the alternating layers in the nanolaminate film stack comprise 1 to about 50 pairs of chromium-containing layers and a second deposited layer.
20. The method of paragraph 16, wherein the second deposition layer is deposited by atomic layer deposition.
21. The method of paragraph 16, further comprising annealing the aerospace component and converting the nanolaminate film stack into a polymeric film.
22. The method of any of paragraphs 1 to 21, wherein the aerospace component is a turbine blade, a turbine bucket, a support member, a frame, a rib, a fin, a pillar fin, a combustor fuel nozzle, a combustor shroud, an internal cooling passage, or any combination thereof.
23. The method of any of paragraphs 1 to 22, wherein the surface of the aerospace component is an interior surface of the aerospace component.
24. The method of any of paragraphs 1 to 23, wherein the surface of the aerospace component is an exterior surface of the aerospace component.
25. The method of any of paragraphs 1 to 24, wherein the surface of the aerospace component comprises nickel, nickel superalloys, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof.
26. An aerospace component, comprising: a surface comprising nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof; and a coating having a thickness of less than 10 μm and disposed on the surface, wherein the coating comprises a chromium-containing layer, and wherein the chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination thereof.
27. An aerospace component, comprising: a surface comprising nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof; and a coating having a thickness of less than 10 μm and disposed on the surface, wherein the coating comprises alumina.
28. An aerospace component, comprising: a surface comprising nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof; and a coating on the surface, wherein the coating is deposited by atomic layer deposition and comprises a chromium-containing layer, and wherein the chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination of the foregoing.
29. The aerospace component of any of paragraphs 26 to 28, wherein the surface of the aerospace component is an interior surface within a cavity of the aerospace component, wherein the cavity has an aspect ratio of about 5 to about 1,000, and the coating has a uniformity of less than 30% of thickness across the interior surface.
30. The aerospace component of any one of paragraphs 26 to 29, wherein the aerospace component is a turbine blade, a turbine bucket, a support member, a frame, a rib, a fin, a pillar fin, a combustor fuel nozzle, a combustor shroud, an internal cooling passage, or any combination of the foregoing.
31. The aerospace component of any of paragraphs 26 to 30, wherein the surface has cavities having an aspect ratio of greater than 5 to 1,000.
32. A method of depositing a coating on an aerospace component, comprising: exposing the aerospace component to a first precursor and a first reactant to form a first deposited layer on a surface of the aerospace component by a Chemical Vapor Deposition (CVD) process or a first Atomic Layer Deposition (ALD) process; and exposing the aerospace component to a second precursor and a second reactant to form a second deposited layer on the first deposited layer by a second ALD process, wherein the first deposited layer and the second deposited layer have different compositions from one another.
33. A method of depositing a coating on an aerospace component, comprising: forming a nanolaminate film stack on a surface of an aerospace component, wherein the nanolaminate film stack comprises alternating layers of a first deposited layer and a second deposited layer; sequentially exposing the aerospace component to a first precursor and a first reactant to form a first deposited layer on the surface by atomic layer deposition, wherein the first deposited layer comprises chromium oxide, chromium nitride, aluminum oxide, aluminum nitride, or any combination thereof; and sequentially exposing the aerospace component to a second precursor and a second reactant to form a second deposited layer by atomic layer deposition on the first deposited layer, wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof, and wherein the first deposited layer and the second deposited layer have different compositions from one another.
34. The method of paragraphs 32 or 33, wherein the first deposited layer is formed by an ALD process and the method further comprises sequentially exposing the aerospace component to the first precursor and the first reactant to form the first deposited layer.
35. The method of paragraph 34, wherein each cycle of the first ALD process includes exposing the aerospace component to the first precursor, performing a pump-purge, exposing the aerospace component to the first reactant, and performing a pump-purge; and each cycle is repeated from 2 times to about 500 times to form the first deposited layer before forming the second deposited layer.
36. The method of any of paragraphs 32 to 35, wherein the first deposited layer is formed by a CVD process and the method further comprises simultaneously exposing the aerospace component to a first precursor and a first reactant to form the first deposited layer.
37. The method of any of paragraphs 32 to 36, wherein the first deposited layer comprises chromium oxide, chromium nitride, aluminum oxide, or aluminum nitride, wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof, and wherein the second deposited layer does not comprise aluminum oxide or aluminum nitride if the first deposited layer comprises aluminum oxide or aluminum nitride.
38. The method of any of paragraphs 32 to 37, wherein the first precursor comprises a chromium precursor or an aluminum precursor, and the first reactant comprises an oxidizing agent, a nitriding agent, or a combination thereof.
39. The method of any of paragraphs 32 to 38, wherein the second precursor comprises an aluminum precursor or a hafnium precursor, and the second reactant comprises an oxidizing agent, a nitriding agent, or a combination thereof.
40. The method of any of paragraphs 32 to 39, wherein the first precursor comprises bis (cyclopentadiene) chromium, bis (pentamethylcyclopentadiene) chromium, bis (isopropylcyclopentadiene) chromium, bis (ethylbenzene) chromium, chromium hexacarbonyl, chromium acetylacetonate, chromium hexafluoroacetylacetonate, chromium diazadienes, isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
41. The method of paragraph 40 wherein the diazadienchronium has the formula:
Figure BDA0002690472770000291
wherein each R and R' is independently selected from H, C C6 alkyl, aryl, acyl, alkylamide, hydrazide, silyl, aldehyde, ketone, C2C 4 alkenyl, alkynyl, or substituents of the foregoing.
42. The method of paragraph 40 wherein each R is independently C1-C6 alkyl selected from methyl, ethyl, propyl, butyl, or isomers of the foregoing and R' is H.
43. The method of paragraph 40 wherein R is tert-butyl and R' is H.
44. The method of paragraph 40 wherein the diazadienyl chromium is bis (1, 4-di-tert-butyldiazadienyl) chromium (II).
45. The method of any of paragraphs 32 to 44, wherein the first precursor or the second precursor comprises an aluminum precursor, and wherein the aluminum precursor comprises tri (alkyl) aluminum, tri (alkoxy) aluminum, aluminum dionate, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
46. The method of paragraph 45, wherein the aluminum precursor comprises trimethylaluminum, triethylaluminum, tripropylaluminum, tributylaluminum, trimethoxyaluminum, triethoxyaluminum, tripropylaluminum, tributoxyaluminum, aluminum acetylacetonate, aluminum acetyl hexafluoro-ethylenedione, aluminum tri-di-pivaloylmethylaluminum, isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
47. The method of any of paragraphs 32 to 46, wherein the first precursor or the second precursor comprises a hafnium precursor, and wherein the hafnium precursor comprises bis (methylcyclopentadiene) hafnium dimethyl, bis (methylcyclopentadiene) hafnium methyloxy, bis (cyclopentadiene) hafnium dimethyl, tetrakis (t-butoxy) hafnium, hafnium isopropoxide, tetrakis (dimethylamino) hafnium (TDMAH), tetrakis (diethylamino) hafnium (TDEAH), tetrakis (ethynemethyl amino) hafnium (TEMAH), isomers of the foregoing, complexes of the foregoing, abducts of the foregoing, salts of the foregoing, or any combination of the foregoing.
48. The method of any of paragraphs 32 to 47, wherein the nanolaminate film stack comprises a first deposited layer and a second deposited layer, and the method further comprises depositing from 2 pairs to about 500 pairs of the first deposited layer and the second deposited layer while increasing the thickness of the nanolaminate film stack.
49. The method of paragraph 48, wherein each pair of first and second deposited layers has a thickness of about 0.2nm to about 50 nm.
50. The method of paragraph 48, further comprising annealing the aerospace component and converting the nanolaminate film stack into a polymeric film.
51. The method of paragraph 47, wherein the first deposited layer comprises aluminum oxide and the second deposited layer comprises hafnium oxide, and wherein the concentration of hafnium within the nanolaminate film stack is about 0.01at% to about 10at%.
52. The method of paragraph 48 wherein the nanolaminate film stack has a thickness of about 1nm to about 5,000 nm.
53. The method of any of paragraphs 32 to 52, wherein the aerospace component is a turbine blade, a turbine bucket, a support member, a frame, a rib, a fin, a pillar fin, a combustor fuel nozzle, a combustor shroud, an internal cooling passage, or any combination thereof.
54. The method of any of paragraphs 32 to 53, wherein the surface of the aerospace component is an interior surface of the aerospace component, and wherein the surface of the aerospace component comprises nickel, nickel superalloys, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof.
55. The method of any of paragraphs 32 to 54, wherein the surface of the aerospace component has cavities having an aspect ratio of greater than 5 to 1,000.
56. An aerospace component, comprising: a surface comprising nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof; and a coating disposed on the surface, wherein the coating comprises a nanolaminate film stack comprising alternating layers of a first deposited layer and a second deposited layer; wherein the first deposited layer comprises chromium oxide, chromium nitride, aluminum oxide, aluminum nitride, or any combination thereof; wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof; wherein the first deposited layer and the second deposited layer have different compositions from each other; and wherein the nanolaminate film stack has a thickness of about 1nm to about 5,000 nm.
57. The aerospace component of paragraph 56, wherein the aerospace component is a turbine blade, a turbine bucket, a support member, a frame, a rib, a fin, a pillar fin, a combustor fuel nozzle, a combustor shroud, an internal cooling passage, or any combination thereof.
58. The aerospace component of paragraphs 56 or 57, wherein the surface of the aerospace component is an interior surface within a cavity of the aerospace component.
59. The aerospace component of any of paragraphs 56 to 58, wherein the cavity has an aspect ratio of about 5 to about 1,000.
60. The aerospace component of any one of paragraphs 56 to 59, wherein the coating has a uniformity across the inner surface of less than 30% of a thickness across the inner surface.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. All documents described herein are incorporated by reference herein, including any priority documents and/or test procedures that are not inconsistent herewith. As is apparent from the general description and the specific embodiments above, while various forms of the disclosure have been shown and described, various modifications can be made without departing from the spirit and scope of the disclosure. Accordingly, the disclosure is not intended to be limited thereto. Also, for purposes of united states law, the term "comprising" is considered synonymous with the term "including". Likewise, whenever a constituent, element, or group of elements is preceded by the transitional phrase "comprising," it will be understood that we can also expect the same composition or group of elements having the transitional phrase "consisting essentially of … …," "consisting of … …," "selected from the group consisting of … …," or "yes" that precede the recited constituent, element, or elements, and vice versa.
Certain embodiments and features have been described using a set of numerical upper limits and a set of numerical lower limits. It should be understood that ranges including any combination of two values, e.g., any combination of a lower value with any higher value, any combination of two lower values, and/or any combination of two higher values, are contemplated unless otherwise indicated. Certain lower limits, upper limits, and ranges appear in one or more of the following claims.

Claims (17)

1. A method of depositing a coating on an aerospace component, comprising:
sequentially exposing the aerospace component to a chromium precursor and a first reactant to form a chromium-containing layer on a surface of the aerospace component by an atomic layer deposition process;
sequentially exposing the aerospace component to a second precursor and a second reactant to form a second deposited layer on the chromium-containing layer by the atomic layer deposition process to produce a nanolaminate film stack comprising alternating layers of the chromium-containing layer and the second deposited layer; and
annealing the aerospace component and converting the nanolaminate film stack to a coalesced film,
wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof.
2. The method of claim 1, wherein the chromium precursor comprises bis (cyclopentadiene) chromium, bis (pentamethylcyclopentadiene) chromium, bis (isopropylcyclopentadiene) chromium, bis (ethylbenzene) chromium, chromium hexacarbonyl, chromium acetylacetonate, chromium hexafluoroacetylacetonate, chromium diazadienes, isomers of the foregoing, complexes of the foregoing, abducts (abducts) of the foregoing, salts of the foregoing, or any combination of the foregoing.
3. The method of claim 2, wherein the diazadienchrome has the formula:
Figure FDA0004127366290000011
wherein each R and R' is independently selected from H, C C6 alkyl, aryl, acyl, alkylamide, hydrazide, silyl, aldehyde, ketone, C2C 4 alkenyl, alkynyl, or substituents of the foregoing.
4. The method of claim 1, wherein the first reactant comprises a reducing agent and the chromium-containing layer comprises metallic chromium.
5. The method according to claim 4, wherein the method comprises, wherein the reducing agent comprises hydrogen (H2), ammonia, hydrazine, alcohol, cyclohexadiene, dihydropyrazines, aluminium-containing compounds, abducts of the above, salts of the above, and,
Plasma derivatives of the above, or any combination of the above.
6. The method of claim 1, wherein the first reactant comprises an oxidizing agent and the chromium-containing layer comprises chromium oxide.
7. The method of claim 6, wherein the oxidizing agent comprises water, oxygen (O2), atomic oxygen, ozone, nitrous oxide, peroxides, alcohols, plasmas thereof, or any combination thereof.
8. The method of claim 1, wherein the first reactant comprises a nitriding agent and the chromium-containing layer comprises chromium nitride.
9. The method of claim 8, wherein the nitriding agent comprises ammonia, atomic nitrogen, hydrazine, plasmas thereof, or any combination thereof.
10. The method of claim 1, wherein the first reactant comprises a carbon precursor or a silicon precursor and the chromium-containing layer comprises chromium carbide or chromium silicide.
11. The method of claim 1, wherein the chromium-containing layer comprises chromium oxide, chromium nitride, or a combination thereof, and wherein the second deposited layer comprises aluminum oxide, silicon nitride, hafnium oxide, hafnium silicate, or any combination thereof.
12. The method of claim 1, wherein the alternating layers in the nanolaminate film stack comprise 1 to about 50 pairs of the chromium-containing layer and the second deposited layer.
13. The method of claim 1, wherein the aerospace component is a turbine blade, a turbine vane, a support member, a frame, a rib, a fin, a pillar fin, a combustor fuel nozzle, a combustor shroud, an internal cooling channel, or any combination thereof.
14. The method of claim 1, wherein the surface of the aerospace component is an interior surface of the aerospace component, and wherein the surface of the aerospace component comprises nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof.
15. A method of depositing a coating on an aerospace component, comprising:
forming a nanolaminate film stack on a surface of the aerospace component, wherein the nanolaminate film stack comprises alternating layers of a chromium-containing layer and a second deposited layer;
sequentially exposing the aerospace component to a chromium precursor and a first reactant to form the chromium-containing layer on the surface by atomic layer deposition, wherein the chromium-containing layer comprises chromium oxide, chromium nitride, or a combination thereof;
sequentially exposing the aerospace component to a metal or silicon precursor and a second reactant to form the second deposited layer by atomic layer deposition on the surface, wherein the second deposited layer comprises an oxide
Aluminum, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof; and
annealing the aerospace component and converting the nanolaminate film stack into a polymeric film.
16. An aerospace component, comprising:
a surface comprising nickel, nickel superalloy, aluminum, chromium, iron, titanium, hafnium, alloys thereof, or any combination thereof; and
a coating having a thickness of less than 10 μm and disposed on the surface, wherein the coating comprises a nanolaminate film stack comprising alternating layers of a chromium-containing layer and a second deposited layer, and wherein the chromium-containing layer comprises metallic chromium, chromium oxide, chromium nitride, chromium carbide, chromium silicide, or any combination thereof and wherein the second deposited layer comprises aluminum oxide, aluminum nitride, silicon oxide, silicon nitride, silicon carbide, yttrium oxide, yttrium nitride, yttrium silicon nitride, hafnium oxide, hafnium nitride, hafnium silicide, hafnium silicate, titanium oxide, titanium nitride, titanium silicide, titanium silicate, or any combination thereof,
Wherein the coating comprises a polymeric film formed from the stack of nanolaminate films.
17. The aerospace component of claim 16, wherein the surface of the aerospace component is an interior surface within a cavity of the aerospace component, wherein the cavity has an aspect ratio of about 5 to about 1,000, and the coating has a uniformity of less than 30% of thickness across the interior surface.
CN201980020567.6A 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films Active CN111902566B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310717002.1A CN116892011A (en) 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862644608P 2018-03-19 2018-03-19
US62/644,608 2018-03-19
US201862767420P 2018-11-14 2018-11-14
US62/767,420 2018-11-14
PCT/US2019/022709 WO2019182954A1 (en) 2018-03-19 2019-03-18 Methods of protecting metallic components against corrosion using chromium-containing thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310717002.1A Division CN116892011A (en) 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films

Publications (2)

Publication Number Publication Date
CN111902566A CN111902566A (en) 2020-11-06
CN111902566B true CN111902566B (en) 2023-06-27

Family

ID=67987999

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980020567.6A Active CN111902566B (en) 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films
CN202310717002.1A Pending CN116892011A (en) 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310717002.1A Pending CN116892011A (en) 2018-03-19 2019-03-18 Method for protecting metal parts against corrosion using chromium-containing films

Country Status (4)

Country Link
EP (1) EP3768873A4 (en)
CN (2) CN111902566B (en)
SG (1) SG11202008259UA (en)
WO (1) WO2019182954A1 (en)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2643087B1 (en) * 1989-02-16 1991-06-07 Unirec PROCESS FOR DEPOSITING A CERAMIC-TYPE COATING ON A METAL SUBSTRATE AND ELEMENT COMPRISING A COATING OBTAINED BY THIS PROCESS
US5503874A (en) * 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals
US7094445B2 (en) * 2002-05-07 2006-08-22 General Electric Company Dimensionally controlled pack aluminiding of internal surfaces of a hollow article
US6921251B2 (en) * 2003-09-05 2005-07-26 General Electric Company Aluminide or chromide coating of turbine engine rotor component
US7285312B2 (en) * 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20050255329A1 (en) * 2004-05-12 2005-11-17 General Electric Company Superalloy article having corrosion resistant coating thereon
US9255327B2 (en) * 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
JP6126852B2 (en) * 2012-02-21 2017-05-10 ハウメット コーポレイションHowmet Corporation Gas turbine component coating and coating method
KR102236892B1 (en) * 2013-04-16 2021-04-07 외를리콘 서피스 솔루션즈 아게, 페피콘 Chromium-based oxidation protection layer
US11473197B2 (en) * 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition

Also Published As

Publication number Publication date
CN116892011A (en) 2023-10-17
WO2019182954A1 (en) 2019-09-26
EP3768873A1 (en) 2021-01-27
CN111902566A (en) 2020-11-06
EP3768873A4 (en) 2022-06-01
SG11202008259UA (en) 2020-10-29

Similar Documents

Publication Publication Date Title
US11560804B2 (en) Methods for depositing coatings on aerospace components
US11732353B2 (en) Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) Methods for depositing anti-coking protective coatings on aerospace components
CN114008236A (en) Method for depositing a sacrificial coating on an aerospace component
US20230002897A1 (en) Methods for forming protective coatings containing crystallized aluminum oxide
US20210156267A1 (en) Methods for depositing protective coatings on turbine blades and other aerospace components
CN111902566B (en) Method for protecting metal parts against corrosion using chromium-containing films
CN116472363A (en) Aerospace component with protective coating and method of making the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant