CN111708425A - 一种集成指示功能的便携风扇控制芯片、电路及方法 - Google Patents

一种集成指示功能的便携风扇控制芯片、电路及方法 Download PDF

Info

Publication number
CN111708425A
CN111708425A CN202010441264.6A CN202010441264A CN111708425A CN 111708425 A CN111708425 A CN 111708425A CN 202010441264 A CN202010441264 A CN 202010441264A CN 111708425 A CN111708425 A CN 111708425A
Authority
CN
China
Prior art keywords
output
module
input
signal
inverter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010441264.6A
Other languages
English (en)
Other versions
CN111708425B (zh
Inventor
班福奎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangzhou Yuxin Electronic Technology Co ltd
Original Assignee
Guangzhou Yuxin Electronic Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangzhou Yuxin Electronic Technology Co ltd filed Critical Guangzhou Yuxin Electronic Technology Co ltd
Priority to CN202010441264.6A priority Critical patent/CN111708425B/zh
Publication of CN111708425A publication Critical patent/CN111708425A/zh
Application granted granted Critical
Publication of CN111708425B publication Critical patent/CN111708425B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D27/00Control, e.g. regulation, of pumps, pumping installations or pumping systems specially adapted for elastic fluids
    • F04D27/001Testing thereof; Determination or simulation of flow characteristics; Stall or surge detection, e.g. condition monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D27/00Control, e.g. regulation, of pumps, pumping installations or pumping systems specially adapted for elastic fluids
    • F04D27/004Control, e.g. regulation, of pumps, pumping installations or pumping systems specially adapted for elastic fluids by varying driving speed
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J7/00Circuit arrangements for charging or depolarising batteries or for supplying loads from batteries
    • H02J7/0047Circuit arrangements for charging or depolarising batteries or for supplying loads from batteries with monitoring or indicating devices or circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02PCONTROL OR REGULATION OF ELECTRIC MOTORS, ELECTRIC GENERATORS OR DYNAMO-ELECTRIC CONVERTERS; CONTROLLING TRANSFORMERS, REACTORS OR CHOKE COILS
    • H02P7/00Arrangements for regulating or controlling the speed or torque of electric DC motors
    • H02P7/06Arrangements for regulating or controlling the speed or torque of electric DC motors for regulating or controlling an individual dc dynamo-electric motor by varying field or armature current
    • H02P7/08Arrangements for regulating or controlling the speed or torque of electric DC motors for regulating or controlling an individual dc dynamo-electric motor by varying field or armature current by manual control without auxiliary power
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02PCONTROL OR REGULATION OF ELECTRIC MOTORS, ELECTRIC GENERATORS OR DYNAMO-ELECTRIC CONVERTERS; CONTROLLING TRANSFORMERS, REACTORS OR CHOKE COILS
    • H02P7/00Arrangements for regulating or controlling the speed or torque of electric DC motors
    • H02P7/06Arrangements for regulating or controlling the speed or torque of electric DC motors for regulating or controlling an individual dc dynamo-electric motor by varying field or armature current
    • H02P7/08Arrangements for regulating or controlling the speed or torque of electric DC motors for regulating or controlling an individual dc dynamo-electric motor by varying field or armature current by manual control without auxiliary power
    • H02P7/14Arrangements for regulating or controlling the speed or torque of electric DC motors for regulating or controlling an individual dc dynamo-electric motor by varying field or armature current by manual control without auxiliary power of voltage applied to the armature with or without control of field Ward-Leonard
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/64Generators producing trains of pulses, i.e. finite sequences of pulses

Abstract

一种集成指示功能的便携风扇控制芯片、电路及方法,包括:充电模块,在侦测到IN及BAT满足充电条件后,进入充电模式,输出充电状态信号给状态模块;升压模块,在接收到状态模块的使能信号后,进入升压模式,并根据LX、OUT及调速模块的信号反馈,实现升压输出,当无使能信号时处于待机模式;状态模块,用于接收按键信号并进行判断,输出转速选择,同时也对充电状态、升压状态、转速状态及电量状态进行指示灯显示;调速模块,连接于OUT与地之间,对OUT进行分压采样,并控制OUT输出电压;8个端口:IN、KEY、DONE、LED、BAT、LX、GND及OUT;本发明显示电量及转速,元件数量少,能耗低,稳定性好,重量轻,体积小。

Description

一种集成指示功能的便携风扇控制芯片、电路及方法
技术领域
本发明涉及便携风扇电路领域,具体涉及一种集成指示功能的便携风扇控制芯片、电路及方法。
背景技术
近年来,各种便携风扇控制方案及芯片已经得到广泛的发展和应用,实现了多种功能的使用并且同时兼顾便携,应用于各种便携风扇设备。
目前,市面上的便携风扇通常使用一个或者多个指示灯,对便携风扇的充电及启动状态进行显示,这种功能简单实用,但存在很大的不足,例如,便携风扇的电池电量决定了风扇接下来的续航能力,而便携风扇的续航能力对使用者来说,显然是一个非常重要的数据;再者,便携风扇的当前转速对于使用者来说也是一个重要的参考信息;但现有的便携风扇没有并没有将这些重要信息传递给使用者。
而且,现有的便携风扇控制方案通常需要多颗芯片以及相应的电路相互配合组成控制电路,才能发挥多种功能。首先,这种控制方案需要的芯片及其他电路元件数量多,造成控制电路的耗能相对较大。便携风扇因为需要方便携带的原因,电池的重量和体积相对较小,进而制约了电池的容量。而控制电路的相对较大的能耗则会进一步削弱便携风扇本就不强的续航能力;其次,控制电路上的元件越多,相应地,控制电路的稳定性也会相对变差,进而导致故障率的上升;最后,数量较多的芯片和电路元件不但成本高,而且控制电路的重量和体积也较大,对于追求轻重量、小体积的便携风扇来说,是一个相当不利的因素。
发明内容
鉴于以上所述现有技术的缺点,本发明的目的在于提供一种便携风扇控制芯片、电路及方法,可以支持便携风扇显示电池电量及转速状态,芯片及外围元件数量较少,能耗低,稳定性好,控制电路的重量和体积小。
本专利方案提供一种集成指示功能的便携风扇控制芯片,包括:
充电模块、升压模块、状态模块、调速模块;8个端口:IN、KEY、DONE、LED、BAT、LX、GND及OUT;
所述充电模块,用于在侦测到所述IN及BAT满足充电条件后,进入充电模式,实现恒流恒压充电功能,输出充电状态信号给所述状态模块;
所述升压模块,用于在接收到所述状态模块的使能信号后,进入升压模式,并根据所述LX、OUT及所述调速模块的信号反馈,实现升压输出,当无使能信号时处于待机模式;
所述状态模块,用于接收所述KEY信号并进行判断,输出转速选择,并输出也对充电状态、升压状态、转速状态及电量状态信号;
所述调速模块,连接于所述OUT与地之间,对OUT进行分压采样,并控制OUT输出电压。
进一步地,所述调速模块包括:中速开关N管、高速开关N管、反馈电阻网络;
所述反馈电阻网络包括至少4个电阻,分别为R1、R2、R3、R4,以此类推;所述反馈电阻网络连接于所述OUT与地之间,对所述OUT进行分压采样;
所述中速开关N管连接到所述反馈电阻网络的R3两端,控制所述OUT输出电压满足电机中速转动;
所述高速开关N管连接到所述反馈电阻网络的R4两端,控制所述OUT输出电压满足电机高速转动。
具体的,所述输出给所述状态模块的充电状态信号包括充电状态指示信号CHRG和充电使能信号ON。
进一步地,所述状态模块包括内部电源选择模块、模式产生模块及指示模块;所述内部电源选择模块的输入端连接到所述BAT及OUT端,输出为内部电源VCC;所述模式产生模块的输入连接到所述KEY端及所述内部电源VCC,输出为升压控制信号F5V、F7VN及F9VN;所述指示模块的三个输入信号为所述模式产生模块的升压控制信号F5V、F7VN及F9VN,另外的四个输入信号为所述BAT、OUT端及所述充电模块的充电状态指示信号CHRG及充电使能信号ON,所述指示模块的输出信号为所述DONE、LED及EN;所述指示模块的电源为所述内部电源VCC。
进一步地,所述指示模块包括振荡器、逻辑组合器、第一P管、第二P管、第三P管、第一电流源、第二电流源、第三电流源、导流二极管、低电比较器、欠压比较器、使能二或非门及使能反相器;所述低电比较器的阳极输入额定电压,其阴极连接所述BAT端,其输出为电池低电信号BTL;所述欠压比较器阳极输入额定电压,阴极连接所述BAT端,其输出为电池低压锁定信号UVLO;所述振荡器两个输出预定频率的信号连接到所述逻辑组合器的输入;所述逻辑组合器的另外7个输入连接到所述充电状态指示信号CHRG、充电使能信号ON及所述升压控制信号F5V、F7VN及F9VN、所述电池低电信号BTL及所述电池低压锁定信号UVLO,其输出信号连接到第一、第二及第三P管的栅极;所述第一P管的源极连接到所述第一电流源,其漏极连接到所述DONE端;所述第二P管的源极连接到所述第二电流源,其漏极连接到所述导流二极管的阳极;所述第三P管的源极连接到所述第三电流源,其漏极连接到所述LED端;所述导流二极管的阳极连接到所述第三P管的漏极,其阴极连接到所述LED端;所述使能反相器的输入为所述升压控制信号F5V,其输出连接到所述使能二或非门的输入端;所述使能二或非门的输入还包括所述电池低压锁定信号UVLO,其输出信号为EN。
具体的,所述逻辑组合器包括第一二与非门、第二二与非门、第三二与非门、第四二与非门、第五二与非门、第六二与非门、三与非门、第一二或非门、第二二或非门、第一反相器、第二反相器、第三反相器、第四反相器、第五反相器、第六反相器;第一二与非门的输入为振荡器的预定占空比的输出信号所述振荡器输出信号及CHRG信号,第一二或非门的输入为信号CHRG和第二反相器的输出,第二反相器的输入为F5V;第三反相器的输入为低电比较器的输出信号,第四二与非门的输入信号为低电比较器的输出及振荡器的输出,第四反相器的输入为欠压比较器的输出信号,第五反相器的输入为F7VN,第六反相器的输入F9VN;第一二与非门的输出连接到第二二与非门的输入,第二二与非门的另一个输入为ON,第二二与非门的输出连接到第一反相器的输入,第一反相器的输出连接到第二二或非门输入,第二二或非门的另一个输入连接到第一二或非门的输出,第二二或非门的输出连接到第三二与非门的输入,第三二与非门的另一个输入为第三反相器的输出,第五二与非门的输入为第四反相器及第五反相器的输出,第六二与非门的输入为第四反相器及第六反相器的输出,三与非门的输入为第三二与非门、第四二与非门及第四反相器的输出;三与非门的输出为信号5VLED,第五二与非门的输出信号为7VLED,第六二与非门的输出信号为9VLED。
更具体的,所述振荡器的两个输出信号频率为1Hz及4Hz。
更具体的,所述低电比较器的阳极输入额定电压为3.2V;所述欠压比较器阳极输入额定电压为2.9V。
本发明还公开了一种集成指示功能的便携风扇控制电路,包括:上述技术方案所述芯片,以及USB输入接口、电感、可充电电池、限流电阻、输出二极管、按键、电机、指示灯组、至少三个电容,分别为第一电容、第二电容、第三电容,以此类推;所述指示灯组包括至少三个指示灯,分别为第一指示灯、第二指示灯、第三指示灯,以此类推;所述芯片的IN端连接到第一电容的正极及USB输入接口,所述芯片的KEY端连接到所述按键的一端,所述按键的另一端连接到地,所述芯片的DONE端连接到所述第一指示灯的阳极,所述LED端连接到所述限流电阻的一端及所述第三指示灯的阳极,所述限流电阻的另一端连接到所述第二指示灯的阳极,所述芯片的BAT端及第三电容的阴极连接到所述第二电容的正极、电池的正极及电感的一端,所述芯片的LX端连接到所述电感的另一端,所述芯片的GND端口连接到地,所述芯片的OUT端连接到所述第三电容的正极、输出二极管的阳极;所述第一电容的负极连接到地;所述第一、第二指示灯的阴极连接到地;所述第二电容的负极连接到地;所述可充电电池的负极连接到地;所述的第三电容的负极连接到地;所述的输出二极管的阴极连接到电机的一端;所述电机的另一端连接到地。
本发明还公开一种基于上述电路的集成指示功能的便携风扇控制方法,所述芯片根据所述IN及BAT端的条件选择充电与否,当BAT<4.2V且4.6V<IN<6.5V时,满足充电条件,根据所述BAT的电压不同进行涓流、恒流及恒压三段式充电,否则不充电;充电时第一指示灯为预定频率闪烁,充满则第一指示灯LED1为常亮;所述状态模块对从所述KEY端接收按键信号进行计数,并产生4状态循环,即低转速、中转速、高转速及待机,需要所述电机转动时所述EN为高电平否则为低电平,中转速及高转速时所述F7VN为低电平否则为高电平,高转速时所述F9VN为低电平否则为高电平;当处于电机转动即升压输出且接USB输入接口处于充电状态时,指示灯由常亮变为预定闪烁状态;升压为恒压输出,输出电流能力为额定的电流值。
本专利电路的改进带来如下优点:
1.对便携风扇的电量状态及转速状态通过多个指示灯进行显示,便于使用者随时全面地掌握便携风扇的各种信息,使用更加顺心方便。例如,在指示灯显示电量不足时,使用者可以提前充电,避免在使用过程中因电量耗尽而无法使用;
2.本发明公开的便携风扇控制芯片及电路,相对于现有技术中的便携风扇控制电路,芯片数量由多个减少为一个,相应地,外围的电路元件数量也大幅度减少。电路零配件的减少不但降低成本,提高电路的集约程度,而且更容易使电路设计科学合理,使用操作方便,电路的稳定性更高,可保证上万次长久稳定的使用;
3.集成充放电管理的便携风扇控制芯片不但精度高,而且体积小、重量轻。芯片和外围电路元件数量的减少可以缩小印制电路板面积,减轻控制电路的整体重量,缩小体积,对于以体积小、重量轻、方便携带为主要特点的便携风扇来说,这是一个重要改进;
4.本发明便携风扇控制电路由于芯片及外围电路元件的数量减少,电路设计更合理,所以功耗相对较低。对于电池容量有限的便携风扇而言,控制电路的功耗降低,意味着便携风扇一个重要指标-续航能力的提升;
附图说明
图1为本发明的集成指示功能的便携风扇控制电路示意图。
图2为本发明的状态模块的结构示意图。
图3为本发明的指示模块的结构示意图。
图4为本发明的充电模式变为空载待机的波形示意图。
图5为本发明的按键计数及升压控制信号信号波形示意图。
图6为本发明的充电时电池电压与第一指示灯状态波形示意图。
图7为本发明的按键计数与指示灯波形示意图。
图8为本发明的风扇转速4状态转换及指示灯状态示意图。
图9为本发明的电机工作时电池低电指示灯即第一指示灯波形示意图。
元件标号说明
100 USB输入接口
101 第一电容
102 按键
103 第二电容
104 电感
105 可充电电池
106 第三电容
107 电机
108 输出二极管
109 指示灯组
1091 第一指示灯
1092 第二指示灯
1093 第三指示灯
110 芯片
111 限流电阻
201 充电模块
202 升压模块
203 状态模块
204 中转速切换管
205 高转速切换管
206 反馈电阻网络
207 调速模块
301 内部电源选择模块
302 模式产生模块
303 指示模块
401 振荡器
402 逻辑组合器
403 低电比较器
404 欠压比较器
405 第一P管
406 第二P管
407 第三P管
408 第一电流源
409 第二电流源
410 第三电流源
411 导流二极管
412 使能反相器
413 使能二或非门
501 第一二与非门
502 第二二与非门
503 第三二与非门
504 第四二与非门
505 第五二与非门
506 第六二与非门
507 三与非门
508 第一二或非门
509 第二二或非门
510 第一反相器
511 第二反相器
512 第三反相器
513 第四反相器
514 第五反相器
515 第六反相器
具体实施方式
以下通过特定的具体实例说明本发明的实施方式,本领域技术人员可由本说明书所揭露的内容轻易地了解本发明的其他优点与功效。本发明还可以通过另外不同的具体实施方式加以实施或应用,本说明书中的各项细节也可以基于不同观点与应用,在没有背离本发明的精神下进行各种修饰或改变。
请参阅图1~图9。需要说明的是,本实施例中所提供的图示仅以示意方式说明本发明的基本构想,遂图式中仅显示与本发明中有关的组件而非按照实际实施时的组件数目、形状及尺寸绘制,其实际实施时各组件的型态、数量及比例可为一种随意的改变,且其组件布局型态也可能更为复杂。
如图1所示,一种集成指示功能的便携风扇控制电路,包括芯片110,以及USB输入接口100、一个电感104、一个可充电电池105(以下简称电池)、指示灯LED1、指示灯LED2、指示灯LED3、一个限流电阻111、一个输出二极管108、一个按键102、一个电机107、至少三个电容,分别为第一电容101、第二电容103、第三电容106;芯片110的IN端口连接到第一电容101的正极及USB输入接口100,芯片110的KEY端连接到按键102的一端,按键102的另一端连接到地,芯片110的DONE端连接到指示灯LED1的阳极,LED端连接到限流电阻111的一端及指示灯LED3的阳极,限流电阻111的另一端连接到指示灯LED2的阳极,芯片110的BAT端及指示灯LED3的阴极连接到第二电容103的正极、电池105的正极及电感104的一端,芯片110的LX端连接到电感104的另一端,芯片110的GND端口连接到地,芯片110的OUT端连接到第三电容106的正极、输出二极管108的阳极;第一电容101的负极连接到地;指示灯LED1、LED2的阴极连接到地;第二电容103的负极连接到地;电池105的负极连接到地;第三电容106的负极连接到地;输出二极管108的阴极连接到电机107的一端;电机107的另一端连接到地。
如图8所示,电机有4种循环的工作状态,分别为待机、低转速、中转速及高转速;四种工作状态的转换是芯片110根据按键102的按键次数信息,经状态模块203对按键信号KEY进行计数后,向升压模块及调速模块输出信号EN、F7VN及F9VN,进而对电机107的转速进行控制,按键计数及升压控制信号信号的关系如图5所示;同时状态模块203通过DONE、LED端口向指示灯组109输出指示灯信号,对指示灯组109进行控制,指示灯LED1、LED2及LED3指示状态与按键102的按键计数如图7示意波形所示。
具体的,电机107的初始状态为空载待机状态,此时,按压一次按键102,按键102信号由端口KEY输入状态模块203,状态模块203计数为1,同时向升压模块202输出升压控制信号,此时升压控制信号信号EN、F7V、F9V均为高电平,升压模块202接收升压控制信号EN、F7V、F9V后输出OUT信号,OUT的电压为5V,驱动电机107低速转动,为低转速状态,此时状态模块203向指示灯组109输出信号,指示灯LED1常亮,指示灯LED2、LED3常灭;然后,再按压一次按键102,按键102信号由端口KEY输入状态模块203,状态模块203计数为2,同时向升压模块202输出升压控制信号,此时升压控制信号EN、F9V均为高电平,F7V为低电平,升压模块202接收升压控制信号EN、F7V、F9V后输出OUT信号,OUT的电压为7V,驱动电机107中速转动,为中转速状态,此时状态模块203向指示灯组109输出信号,指示灯LED2常亮,指示灯LED1、LED3常灭;然后,再按压一次按键102,按键102信号由端口KEY输入状态模块203,状态模块203计数为3,同时向升压模块202输出升压控制信号,此时升压控制信号EN为高电平,F7V、F9V均为低电平,升压模块202接收升压控制信号F5V、F7V、F9V后输出OUT信号,OUT的电压为9V,驱动电机107高速转动,为高转速状态,此时状态模块203向指示灯组109输出信号,指示灯LED3常亮,指示灯LED1、LED2常灭;最后,再按压一次按键102,按键102信号由端口KEY输入状态模块203,状态模块203计数为4并置零,同时向升压模块202输出升压控制信号,此时升压控制信号EN为低电平,F7V、F9V均为高电平,升压模块202接收升压控制信号EN、F7V、F9V后关闭OUT信号,电机107停止转动,为待机状态,此时状态模块203向指示灯组109输出信号,指示灯LED1、LED2、LED3常灭。
芯片110根据IN及BAT端的条件选择充电与否,当BAT<4.2V且4.6V<IN<6.5V时,满足充电条件,进入充电模式,根据BAT的电压不同进行涓流、恒流及恒压三段式充电,否则不充电;涓流充电电流为20%*ICHRG,恒流充电电流为ICHRG,恒压充电时结束充电电流为10%*ICHRG;充电时第一指示灯1091为预定频率闪烁,优选频率为1HZ,当电池充满则第一指示灯1091LED1为常亮;如图4所示,可以看出,当BAT电压小于4.2V时,满足充电条件,进入充电状态,指示灯LED1以频率1HZ,占空比50%进行闪烁;当电池充满,BAT电压大于等于4.2V时,不满足充电条件,停止充电,进入空载待机状态,指示灯LED1常亮;状态模块203对从KEY端接收按键102信号进行计数,并产生4状态循环,即低转速、中转速、高转速及待机,需要电机107转动时EN为高电平否则为低电平,中转速及高转速时F7VN为低电平否则为高电平,高转速时F9VN为低电平否则为高电平;
处于充电状态时CHRG信号为高电平,处于不充电状态时CHRG信号为低电平;充电状态信号CHRG经状态模块203处理后驱动指示灯组109对充电状态进行指示,电池105处于充电时指示灯组109的指示灯LED1为1Hz频率闪烁,电池105充满时指示灯组109的指示灯LED1常亮,充电状态的电池电压及指示灯波形示意图如图4所示。
所述芯片110的OUT端口为恒压的升压输出,当EN为高电平信号时,升压模块202接收到所述状态模块203的使能信号后,进入升压模式,处于电机107转动时即为升压状态,电流由电池105发出,经电感104、芯片110的LX端口、升压模块202、芯片110的OUT端口进行升压输出给电容106及输出二极管108,其升压输出的电流能力为1A;升压模块202为同步PFWM控制工作模式,输出端OUT的电压与校准电压点FB5的满足下式关系:
Figure BDA0002504236190000061
其中,VOUT为OUT端的电压,R1、R2、R3及R4为电阻网络的分压电阻值,VFB5为校准点电压。
当处于电机107转动即升压输出且接USB输入接口100处于充电状态时,指示灯由常亮变为预定频率闪烁,优选频率为1HZ;升压为恒压输出,输出电流能力为额定的电流值,电流值优选为1A。
具体的,如图1所示,芯片110包括:充电模块201、升压模块202、状态模块203、调速模块207;8个端口:IN、KEY、DONE、LED、BAT、LX、GND及OUT;
具体说明上述模块:充电模块201有1个输入信号IN,为芯片110的端口;充电模块201有3个输出信号,分别为BAT、CHRG及ON,其中CHRG、ON为状态模块203的输入信号;
升压模块202有3个输入信号,分别为EN、LX及FB5,其中EN为状态模块203的一个输出信号,LX为芯片110的端口,FB5为调速模块207的输出信号;升压模块202的输出信号OUT为芯片110的端口;
状态模块203有5个输入信号,分别为KEY、CHRG、ON、BAT及OUT,其中KEY、BAT及OUT为芯片110的端口,CHRG及ON为充电模块201的输出信号;状态模块203有5个输出信号,分别为DONE、LED、EN、F7VN及F9VN,其中DONE、LED为芯片110的端口,EN为升压模块202的一个输入信号,F7VN及F9VN为调速模块207的输入;
调速模块207有3个输入信号,分别为F7VN、F9VN、芯片110的OUT端口,其中F7VN、F9VN为状态模块203的输出;调速模块207有一个输出信号FB5且有一端接地。
如图9所示,芯片110根据BAT端口电压进行判断:电机107工作时,BAT端电压下降,当电池电压低于3.2V,状态模块203根据BAT端的电压进行判断,向指示灯LED1输出信号,指示灯LED1为4Hz的频率、占空比50%的频率闪烁,提醒电池处于低电状态,需要对电池进行充电;如电池继续下降,当其低于2.9V时,状态模块203根据BAT端的电压进行判断,向升压模块202输出升压控制信号,升压模块202根据输入的升压控制信号,控制OUT端无升压输出,电机107停转,同时状态模块203向指示灯组109输出信号,指示灯均熄灭。
更具体的,如图2所示,状态模块203包括内部电源选择模块301、模式产生模块302及指示模块303;内部电源选择模块301的输入端连接到芯片110的BAT及OUT端口,输出为内部电源VCC;模式产生模块302的输入连接到芯片110的KEY端口及内部电源选择模块301的输出VCC,输出为升压控制信号F5V、F7VN及F9VN;指示模块303的三个输入信号为模式产生模块302的升压控制信号F5V、F7VN及F9VN,另外四个输入信号为芯片110的BAT、OUT端口及充电模块201的输出信号CHRG及ON,指示模块303的电源信号为VCC,指示模块303的输出信号为指示灯状态组信号DONE及LED、升压使能信号EN。
内部电源选择模块301的输出VCC为OUT与BAT两者中电压值高者。按键102开路时KEY为高电平,按键102闭合时KEY为低电平。状态模块203对按键102的计数及输出控制信号,需要电机107转动时EN为高电平否则为低电平,电机107中转速及高转速时F7VN为低电平否则为高电平,电机107高速转时F9VN为低电平否则为高电平。模式产生模块302内的每一个逻辑信号其高电平为VCC电平,低电平为地,KEY信号的输入与输出控制信号EN、F7VN、F9VN的逻辑关系如图5波形示意图所示。当处于电机107转动即升压输出且连接USB输入接口100处于充电状态时,指示灯组109的LED1由常亮变为1Hz闪烁状态,如图5所示。
具体的,如图6所示,指示模块303包括:振荡器401、逻辑组合器402、低电比较器403、欠压比较器404、第一P管405、第二P管406、第三P管407、第一电流源408、第二电流源409、第三电流源410、导流二极管411、使能反相器412及使能二或非门413;振荡器401有两个输出信号1Hz及4Hz,连接到逻辑组合器402的输入;使能反相器412输入为F5V;使能二或非门413的一个输入为欠压比较器404的输出信号UVLO,另一个413的输入连接到使能反相器412的输出,使能二或非门413的输出为EN信号;逻辑组合器402的有两个输入连接到充电模块201的输出信号CHRG及ON,逻辑组合器402的有两个输入连接到模式产生模块302的输出信号F5V、F7VN及F9VN,逻辑组合器402有两个输入分别连接到低电比较器403及欠压比较器404的输出;逻辑组合器402有三个输出5VLED、7VLED及9VLED,其中5VLED连接到第一P管405的栅极,7VLED连接到第二P管406的栅极,9VLED连接到第三P管407的栅极;第一P管405的源极连接到第一电流源408,其漏极连接到芯片110的DONE端口;第二P管406的源极连接到第二电流源409,其漏极连接到导流二极管411的阳极;第三P管407的源极连接到第三电流源410,其漏极连接到芯片110的LED端口;导流二极管411的阴极连接到芯片110的LED端口;
具体的,逻辑组合器402包括第一二与非门501、第二二与非门502、第三二与非门503、第四二与非门504、第五二与非门505、第六二与非门506、三与非门507、第一二或非门508、第二二或非门509、第一反相器510、第二反相器511、第三反相器512、第四反相器513、第五反相器514、第六反相器515;第一二与非门501的输入为振荡器401的占空比50%的输出信号1Hz及CHRG信号,第一二或非门508的输入为信号CHRG和第二反相器511的输出,第二反相器511的输入为F5V;第三反相器的输入为低电比较器403的输出信号,第四二与非门504的输入信号为低电比较器403的输出及振荡器401的输出,第四反相器513的输入为欠压比较器404的输出信号,第五反相器514的输入为F7VN,第六反相器515的输入F9VN;第一二与非门501的输出连接到第二二与非门502的输入,第二二与非门502的另一个输入为ON,第二二与非门502的输出连接到510的输入,第一反相器510的输出连接到第二二或非门509输入,第二二或非门509的另一个输入连接到第一二或非门508的输出,第二二或非门509的输出连接到第三二与非门503的输入,第三二与非门503的另一个输入为第三反相器512的输出,第五二与非门505的输入为第四反相器513及第五反相器514的输出,第六二与非门506的输入为第四反相器513及第六反相器515的输出,三与非门507的输入为第三二与非门503、第四二与非门504及第四反相器513的输出;三与非门507的输出为信号5VLED,第五二与非门505的输出信号为7VLED,第六二与非门506的输出信号为9VLED。
其中,作为上述实施例的补充,具体的,充电功率P管204、升压续流P管206、第一P管402、第二P管403及第三P管603为P型MOS管;升压功率N管208、中速开关N管209、高速开关N管210为N型MOS管。

Claims (10)

1.一种集成指示功能的便携风扇控制芯片,其特征在于,包括:
充电模块、升压模块、状态模块、调速模块;8个端口:IN、KEY、DONE、LED、BAT、LX、GND及OUT;
所述充电模块,用于在侦测到所述IN及BAT满足充电条件后,进入充电模式,输出充电状态信号给所述状态模块;
所述升压模块,用于在接收到所述状态模块的使能信号后,进入升压模式,并根据所述LX、OUT及所述调速模块的信号反馈,实现升压输出,当无使能信号时处于待机模式;
所述状态模块,用于接收所述KEY信号并进行判断,输出转速选择,并输出充电状态、升压状态、转速状态及电量状态信号;
所述调速模块,连接于所述OUT与地之间,对所述OUT进行分压采样,并控制所述OUT输出电压。
2.根据权利要求1所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述调速模块包括:中速开关N管、高速开关N管、反馈电阻网络;
所述反馈电阻网络包括至少4个电阻,分别为R1、R2、R3、R4,以此类推;所述反馈电阻网络连接于所述OUT与地之间,对所述OUT进行分压采样;
所述中速开关N管连接到所述反馈电阻网络的R3两端,控制所述OUT输出电压满足电机中速转动;
所述高速开关N管连接到所述反馈电阻网络的R4两端,控制所述OUT输出电压满足电机高速转动。
3.根据权利要求2所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述输出给所述状态模块的充电状态信号包括充电状态指示信号CHRG和充电使能信号ON。
4.根据权利要求3所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述状态模块包括内部电源选择模块、模式产生模块及指示模块;所述内部电源选择模块的输入端连接到所述BAT及OUT端,输出为内部电源VCC;所述模式产生模块的输入连接到所述KEY端及所述内部电源VCC,输出为升压控制信号F5V、F7VN及F9VN;所述指示模块的三个输入信号为所述模式产生模块的升压控制信号F5V、F7VN及F9VN,另外的四个输入信号为所述BAT、OUT端及所述充电模块的充电状态指示信号CHRG及充电使能信号ON,所述指示模块的输出信号为所述DONE、LED及EN;所述指示模块的电源为所述内部电源VCC。
5.根据权利要求4所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述指示模块包括振荡器、逻辑组合器、第一P管、第二P管、第三P管、第一电流源、第二电流源、第三电流源、导流二极管、低电比较器、欠压比较器、使能二或非门及使能反相器;所述低电比较器的阳极输入额定电压,其阴极连接所述BAT端,其输出为电池低电信号BTL;所述欠压比较器阳极输入额定电压,阴极连接所述BAT端,其输出为电池低压锁定信号UVLO;所述振荡器两个输出预定频率的信号连接到所述逻辑组合器的输入;所述逻辑组合器的另外7个输入连接到所述充电状态指示信号CHRG、充电使能信号ON及所述升压控制信号F5V、F7VN及F9VN、所述电池低电信号BTL及所述电池低压锁定信号UVLO,其输出信号连接到第一、第二及第三P管的栅极;所述第一P管的源极连接到所述第一电流源,其漏极连接到所述DONE端;所述第二P管的源极连接到所述第二电流源,其漏极连接到所述导流二极管的阳极;所述第三P管的源极连接到所述第三电流源,其漏极连接到所述LED端;所述导流二极管的阳极连接到所述第三P管的漏极,其阴极连接到所述LED端;所述使能反相器的输入为所述升压控制信号F5V,其输出连接到所述使能二或非门的输入端;所述使能二或非门的输入还包括所述电池低压锁定信号UVLO,其输出信号为EN。
6.根据权利要求5所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述逻辑组合器包括第一二与非门、第二二与非门、第三二与非门、第四二与非门、第五二与非门、第六二与非门、三与非门、第一二或非门、第二二或非门、第一反相器、第二反相器、第三反相器、第四反相器、第五反相器、第六反相器;第一二与非门的输入为振荡器的预定占空比的输出信号所述振荡器输出信号及CHRG信号,第一二或非门的输入为信号CHRG和第二反相器的输出,第二反相器的输入为F5V;第三反相器的输入为低电比较器的输出信号,第四二与非门的输入信号为低电比较器的输出及振荡器的输出,第四反相器的输入为欠压比较器的输出信号,第五反相器的输入为F7VN,第六反相器的输入F9VN;第一二与非门的输出连接到第二二与非门的输入,第二二与非门的另一个输入为ON,第二二与非门的输出连接到第一反相器的输入,第一反相器的输出连接到第二二或非门输入,第二二或非门的另一个输入连接到第一二或非门的输出,第二二或非门的输出连接到第三二与非门的输入,第三二与非门的另一个输入为第三反相器的输出,第五二与非门的输入为第四反相器及第五反相器的输出,第六二与非门的输入为第四反相器及第六反相器的输出,三与非门的输入为第三二与非门、第四二与非门及第四反相器的输出;三与非门的输出为信号5VLED,第五二与非门的输出信号为7VLED,第六二与非门的输出信号为9VLED。
7.根据权利要求6所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述振荡器的两个输出信号频率为1Hz及4Hz。
8.根据权利要求7所述的一种集成指示功能的便携风扇控制芯片,其特征在于:所述低电比较器的阳极输入额定电压为3.2V;所述欠压比较器阳极输入额定电压为2.9V。
9.一种集成指示功能的便携风扇控制电路,其特征在于:包括:权利要求1-8所述芯片,以及USB输入接口、电感、可充电电池、限流电阻、输出二极管、按键、电机、指示灯组、至少三个电容,分别为第一电容、第二电容、第三电容,以此类推;所述指示灯组包括至少三个指示灯,分别为第一指示灯、第二指示灯、第三指示灯,以此类推;所述芯片的IN端连接到第一电容的正极及USB输入接口,所述芯片的KEY端连接到所述按键的一端,所述按键的另一端连接到地,所述芯片的DONE端连接到所述第一指示灯的阳极,所述LED端连接到所述限流电阻的一端及所述第三指示灯的阳极,所述限流电阻的另一端连接到所述第二指示灯的阳极,所述芯片的BAT端及第三电容的阴极连接到所述第二电容的正极、电池的正极及电感的一端,所述芯片的LX端连接到所述电感的另一端,所述芯片的GND端口连接到地,所述芯片的OUT端连接到所述第三电容的正极、输出二极管的阳极;所述第一电容的负极连接到地;所述第一、第二指示灯的阴极连接到地;所述第二电容的负极连接到地;所述可充电电池的负极连接到地;所述的第三电容的负极连接到地;所述的输出二极管的阴极连接到电机的一端;所述电机的另一端连接到地。
10.一种集成指示功能的便携风扇控制方法,该方法基于权利要求9所述电路运行,其特征在于:所述芯片根据所述IN及BAT端的条件选择充电与否,当BAT<4.2V且4.6V<IN<6.5V时,满足充电条件,根据所述BAT的电压不同进行涓流、恒流及恒压三段式充电,否则不充电;充电时第一指示灯为预定频率闪烁,充满则第一指示灯为常亮;所述状态模块对从所述KEY端接收按键信号进行计数,并产生4状态循环,即低转速、中转速、高转速及待机,需要所述电机转动时所述EN为高电平否则为低电平,中转速及高转速时所述F7VN为低电平否则为高电平,高转速时所述F9VN为低电平否则为高电平;当处于电机转动即升压输出且接USB输入接口处于充电状态时,指示灯由常亮变为预定闪烁状态;升压为恒压输出,输出电流能力为额定的电流值。
CN202010441264.6A 2020-05-22 2020-05-22 一种集成指示功能的便携风扇控制芯片、电路及方法 Active CN111708425B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010441264.6A CN111708425B (zh) 2020-05-22 2020-05-22 一种集成指示功能的便携风扇控制芯片、电路及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010441264.6A CN111708425B (zh) 2020-05-22 2020-05-22 一种集成指示功能的便携风扇控制芯片、电路及方法

Publications (2)

Publication Number Publication Date
CN111708425A true CN111708425A (zh) 2020-09-25
CN111708425B CN111708425B (zh) 2022-11-15

Family

ID=72539217

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010441264.6A Active CN111708425B (zh) 2020-05-22 2020-05-22 一种集成指示功能的便携风扇控制芯片、电路及方法

Country Status (1)

Country Link
CN (1) CN111708425B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113013952A (zh) * 2021-03-26 2021-06-22 上海飞象健康科技有限公司 便携设备的控制系统、方法、介质及器所应用的冲牙器
CN113555943A (zh) * 2021-09-17 2021-10-26 上海南麟电子股份有限公司 一种线性充电升压芯片

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101100171A (zh) * 2007-06-15 2008-01-09 浙江大学 电动车制动能量回收装置
CN201319578Y (zh) * 2008-11-27 2009-09-30 黄瑞益 一种直接接ac市电电源的无刷风扇的控制电路
JP2011041336A (ja) * 2009-08-06 2011-02-24 Denso Corp 電動機駆動装置及び電動機駆動装置の制御方法ならびに電動装置
CN103368246A (zh) * 2013-07-29 2013-10-23 方建平 一种带手机插入自动识别的后备电源控制系统
CN203326671U (zh) * 2013-07-10 2013-12-04 向智勇 一种用于电子烟盒的控制电路
CN203368072U (zh) * 2013-07-02 2013-12-25 Tcl通力电子(惠州)有限公司 充电管理电路和充电装置
CN103513737A (zh) * 2012-06-25 2014-01-15 鸿富锦精密工业(深圳)有限公司 风扇供电电路
CN204012830U (zh) * 2014-07-22 2014-12-10 厦门广开电子有限公司 移动电源
CN204481727U (zh) * 2015-04-24 2015-07-15 丘仰福 感应式调速电路
CN105846503A (zh) * 2016-05-16 2016-08-10 深圳市富满电子集团股份有限公司 一种便携式设备及其内部驱动装置
CN106200856A (zh) * 2016-08-30 2016-12-07 深圳市富满电子集团股份有限公司 一种便携式设备及其电源管理芯片
US20170222464A1 (en) * 2016-02-01 2017-08-03 Qualcomm Incorporated Dual-phase operation for concurrently charging a battery and powering a peripheral device
CN107318196A (zh) * 2017-08-16 2017-11-03 惠州Tcl移动通信有限公司 一种移动终端的指示灯电路及其控制方法
CN107820659A (zh) * 2016-11-21 2018-03-20 深圳市柔宇科技有限公司 电子装置、充电器、充电系统及充电方法
CN109236697A (zh) * 2018-10-29 2019-01-18 安徽四创电子股份有限公司 一种风机控制系统
CN109298768A (zh) * 2012-08-27 2019-02-01 绿光工业股份有限公司 多电源单元
CN209856078U (zh) * 2019-05-14 2019-12-27 深圳天喆科技有限公司 一种利于携带的新型台灯风扇

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101100171A (zh) * 2007-06-15 2008-01-09 浙江大学 电动车制动能量回收装置
CN201319578Y (zh) * 2008-11-27 2009-09-30 黄瑞益 一种直接接ac市电电源的无刷风扇的控制电路
JP2011041336A (ja) * 2009-08-06 2011-02-24 Denso Corp 電動機駆動装置及び電動機駆動装置の制御方法ならびに電動装置
CN103513737A (zh) * 2012-06-25 2014-01-15 鸿富锦精密工业(深圳)有限公司 风扇供电电路
CN109298768A (zh) * 2012-08-27 2019-02-01 绿光工业股份有限公司 多电源单元
CN203368072U (zh) * 2013-07-02 2013-12-25 Tcl通力电子(惠州)有限公司 充电管理电路和充电装置
CN203326671U (zh) * 2013-07-10 2013-12-04 向智勇 一种用于电子烟盒的控制电路
CN103368246A (zh) * 2013-07-29 2013-10-23 方建平 一种带手机插入自动识别的后备电源控制系统
CN204012830U (zh) * 2014-07-22 2014-12-10 厦门广开电子有限公司 移动电源
CN204481727U (zh) * 2015-04-24 2015-07-15 丘仰福 感应式调速电路
US20170222464A1 (en) * 2016-02-01 2017-08-03 Qualcomm Incorporated Dual-phase operation for concurrently charging a battery and powering a peripheral device
CN105846503A (zh) * 2016-05-16 2016-08-10 深圳市富满电子集团股份有限公司 一种便携式设备及其内部驱动装置
CN106200856A (zh) * 2016-08-30 2016-12-07 深圳市富满电子集团股份有限公司 一种便携式设备及其电源管理芯片
CN107820659A (zh) * 2016-11-21 2018-03-20 深圳市柔宇科技有限公司 电子装置、充电器、充电系统及充电方法
CN107318196A (zh) * 2017-08-16 2017-11-03 惠州Tcl移动通信有限公司 一种移动终端的指示灯电路及其控制方法
CN109236697A (zh) * 2018-10-29 2019-01-18 安徽四创电子股份有限公司 一种风机控制系统
CN209856078U (zh) * 2019-05-14 2019-12-27 深圳天喆科技有限公司 一种利于携带的新型台灯风扇

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
肖青: ""TC652在电动车风扇控制技术的应用"", 《长江工程职业技术学院学报》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113013952A (zh) * 2021-03-26 2021-06-22 上海飞象健康科技有限公司 便携设备的控制系统、方法、介质及器所应用的冲牙器
CN113555943A (zh) * 2021-09-17 2021-10-26 上海南麟电子股份有限公司 一种线性充电升压芯片

Also Published As

Publication number Publication date
CN111708425B (zh) 2022-11-15

Similar Documents

Publication Publication Date Title
CN202759632U (zh) 一种发光二极管的驱动电路及照明装置
CN101728843B (zh) 自动启动电路及具自动启动电路的不间断电源供应器
CN111708425B (zh) 一种集成指示功能的便携风扇控制芯片、电路及方法
CN1917735A (zh) 太阳能发光二极管照明灯控制器
WO2020103093A1 (zh) 充电控制方法、充电器及充电控制系统
CN208890421U (zh) 一种电动工具
CN2842844Y (zh) 便携式光电数码电源
CN101026917A (zh) 一种太阳能led灯电路
CN211606882U (zh) 一种驱动电路、led电路和灯具
CN101752881B (zh) 具有低功耗的不间断电源供应器
CN202395487U (zh) 一种便携式太阳能电源
CN202143243U (zh) 交流市电led照明灯驱动电路
CN212774882U (zh) 一种具有多种显示功能的便携风扇控制芯片及电路
CN212366863U (zh) 一种集成充放电控制芯片及电路
CN210694428U (zh) Led驱动芯片和led驱动系统
CN111624912A (zh) 一种便携风扇控制芯片、电路及方法
CN206923098U (zh) 一种适用于移动式照明设备的供电系统
CN206908062U (zh) Usb集线器和接口扩展装置
CN213152435U (zh) 一种适用范围广的移动照明电路
CN211556950U (zh) 一种移动电源电路结构及移动电源
CN111342508A (zh) 单口双向的移动电源管理芯片及移动电源
CN220209994U (zh) 一种锂电池充电电路
CN218102671U (zh) 一种可一键循环切换充电电流的充电器
CN209838739U (zh) 一种低成本便携式风扇控制器
CN214590682U (zh) 充电式风扇控制电路和便携式风扇

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant