CN111327413A - Side channel data processing method and equipment - Google Patents

Side channel data processing method and equipment Download PDF

Info

Publication number
CN111327413A
CN111327413A CN201811544680.8A CN201811544680A CN111327413A CN 111327413 A CN111327413 A CN 111327413A CN 201811544680 A CN201811544680 A CN 201811544680A CN 111327413 A CN111327413 A CN 111327413A
Authority
CN
China
Prior art keywords
side channel
channel data
power consumption
original side
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811544680.8A
Other languages
Chinese (zh)
Other versions
CN111327413B (en
Inventor
华刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aisino Corp
Original Assignee
Aisino Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aisino Corp filed Critical Aisino Corp
Priority to CN201811544680.8A priority Critical patent/CN111327413B/en
Publication of CN111327413A publication Critical patent/CN111327413A/en
Application granted granted Critical
Publication of CN111327413B publication Critical patent/CN111327413B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/002Countermeasures against attacks on cryptographic mechanisms
    • H04L9/003Countermeasures against attacks on cryptographic mechanisms for power analysis, e.g. differential power analysis [DPA] or simple power analysis [SPA]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/002Countermeasures against attacks on cryptographic mechanisms
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Security & Cryptography (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Storage Device Security (AREA)
  • Mobile Radio Communication Systems (AREA)

Abstract

The invention discloses a side channel data processing method and equipment, which are used for reducing the accumulated power consumption in the side channel data of a security chip, expanding the detection range of the side channel data and improving the detection working efficiency. The method comprises the following steps: sampling original side channel data of the security chip; carrying out low-pass filtering on the original side channel data by using the low-pass filter to obtain trend curve data of the original side channel data; and obtaining processed side channel data according to the original side channel data and the trend curve data.

Description

Side channel data processing method and equipment
Technical Field
The invention relates to the technical field of channel safety detection, in particular to a side channel data processing method and device.
Background
With the increasing attention of people on information security, the attack method of the security chip is widely and deeply researched, and also brings practical security threat to commercial products, the side channel attack on the security chip is more and more, and the requirement on the anti-attack capability of the security chip is higher and higher.
When the Side Channel (Side Channel attach) anti-Attack capability of the security chip is detected, the aim is to detect that the Side Channel data at different time points are similar to generate collision, so that the consistency of the Side Channel data at the different time points is presumed, and therefore, the detection of the similarity of the Side Channel data at two time points is the key of the collision detection process of the Side Channel.
Due to the capacitance effect existing at the starting and ending of the safety chip, accumulated power consumption exists in the side channel data at the starting and ending of the safety chip, and the collision detection between the side channel data at the starting stage and the ending stage and the data when the safety chip stably runs becomes difficult.
Disclosure of Invention
The invention provides a side channel data processing method and equipment, which can reduce the influence of capacitance accumulation effect on side channel data and enable the side channel data of a security chip to be effectively applied to side channel collision detection.
The invention provides a side channel data processing method, which comprises the following steps:
sampling original side channel data of the security chip;
carrying out low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
and obtaining processed side channel data according to the original side channel data and the trend curve data.
The present invention provides a side channel data processing apparatus, including: a processor and a memory, wherein the memory stores program code that, when executed by the processor, causes the processor to perform the steps of:
sampling original side channel data of the security chip;
carrying out low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
and obtaining processed side channel data according to the original side channel data and the trend curve data.
The present invention also provides a side channel processing apparatus, comprising:
the sampling unit is used for sampling original side channel data of the security chip;
the filtering unit is used for carrying out low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
and the data unit is used for obtaining the processed side channel data according to the original side channel data and the trend curve data.
The side channel processing method and the side channel processing equipment provided by the invention have the following beneficial effects:
when the side channel collision detection is carried out on the security chip, the accumulated power consumption in the side channel data of the security chip is reduced, the side channel data with the influence of the accumulated power consumption reduced is obtained, the detection range of the side channel data is expanded, and the detection working efficiency is improved.
Drawings
FIG. 1 is a flow chart of a side channel data processing method;
FIG. 2 is a comparison graph of data waveforms of power consumption acquisition side channels;
FIG. 3 is a waveform diagram of a power consumption accumulation phase;
FIG. 4 is a waveform diagram illustrating the determination of the low pass filter cut-off frequency;
FIG. 5 is a side channel trend curve data plot;
FIG. 6 is a side channel data diagram of cumulative effects of depower consumption;
FIG. 7 is a graph of power consumption accumulation phase side channel data correlation;
fig. 8 is a diagram of side channel data collision detection during a power accumulation phase;
FIG. 9 is a graph of the side channel data correlation for the de-power accumulation stage;
FIG. 10 is a graph of side channel data collision detection for a de-power accumulation phase;
fig. 11 is a diagram of a side channel data processing apparatus.
Detailed Description
In order to make the objects, technical solutions and advantages of the present invention clearer, the present invention will be described in further detail with reference to the accompanying drawings, and it is apparent that the described embodiments are only a part of the embodiments of the present invention, not all of the embodiments. All other embodiments, which can be derived by a person skilled in the art from the embodiments given herein without making any creative effort, shall fall within the protection scope of the present invention.
Example one
The invention provides a side channel data processing method, which is used for reducing the accumulated power consumption in side channel data when a security chip is started and finished, expanding the detection range of the side channel data and improving the detection working efficiency, and the specific implementation steps are shown in figure 1 and are as follows:
step 101: sampling original side channel data of the security chip;
step 102: carrying out low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
step 103: and obtaining processed side channel data according to the original side channel data and the trend curve data.
In implementation, the security chip is a trusted platform module, is a chip capable of independently performing key generation and encryption and decryption, has an independent processor and a memory inside, and can store keys and feature data, thereby providing encryption and security authentication services for a computer. The security chip can encrypt the data stored in the security chip with high reliability, so that the data is difficult to steal illegally. Collision detection may be performed on the secure chip side channel data to determine the side channel attack resistance of the secure chip.
The side channel attack is a method for attacking the encrypted device against side channel information leakage such as time consumption, power consumption or electromagnetic radiation during the operation of the encrypted electronic device.
In implementation, since the security chip may have power consumption leakage, i.e., a power consumption accumulation effect at the start and end, the power consumption accumulation effect at each time is as shown in fig. 2, and the side channel data waveform collected after power consumption accumulation is less stable than the side channel data waveform collected by actual power consumption.
The side channel data waveform from start to end of a security chip is shown in fig. 3, and the above-mentioned power consumption accumulation effect is shown as start-time side channel data 301 and end-time side channel data 302, so that the collision detection between the side channel data of two stages of start and end of the security module and the side channel data 300 of the security module in stable operation becomes difficult.
As an optional implementation, the method further includes:
and carrying out correlation analysis on the processed side channel data so as to carry out collision detection on the processed side channel data.
The collision detection mainly detects that there is complete similarity of side channel data at two different time points in the side channel data, which indicates that a collision is detected.
As an optional implementation manner, when sampling the original side channel data of the security chip, the method further includes:
when the security chip is started, the power consumption accumulation occurs on the side channel, and the number of the operation cycles occupied by the security chip in the power consumption accumulation period is determined;
and determining the cut-off frequency of the low-pass filter according to the number of the operation cycles occupied by the security chip during the power consumption accumulation period.
In implementation, the operation period T of the security chip is a known working period of the security chip, or a working period of the security chip obtained by testing side channel data of the security chip during working according to a sampling clock during testing.
As an alternative implementation, if the number of operating cycles occupied by the security chip during the power consumption accumulation period is K, the cutoff frequency M of the low-pass filter is determined to be L/K, and L is the operating frequency of the security chip.
In an implementation, the operating frequency of the security chip is determined by the operating period T of the security chip, that is, the operating frequency L of the security chip is 1/T.
The low-pass filter can pass low-frequency signals, block high-frequency signals exceeding a cut-off frequency and smooth the side channel data, so that after the low-pass filter is used for low-pass filtering the original side channel data, a smooth trend curve data of the original side channel data can be obtained.
As an optional implementation manner, determining the number K of operation cycles occupied by the secure chip during the power consumption accumulation period includes:
in the power consumption accumulation period of the safety chip, setting original side channel data are sampled, and a power consumption accumulation starting point and a power consumption accumulation end point of each original side channel data are determined;
after aligning the power consumption accumulation starting points of all original side channel data, preprocessing all original side channel data to determine a power consumption accumulation end point;
and determining the number of the operation cycles occupied by the security chip in the power consumption accumulation period according to the aligned power consumption accumulation starting point and the determined power consumption accumulation end point.
In implementation, a user may determine the number of the original side channel data according to a requirement for accuracy, obtain each original side channel data with different power consumption accumulation times by sampling a plurality of original side channel data, determine an accurate power consumption accumulation time, and obtain the number of the security chip operation cycles occupied by the security chip during the power consumption accumulation period according to the determined power consumption accumulation time, thereby determining the cutoff frequency of the low-pass filter.
As an optional implementation, preprocessing each raw side channel data includes:
averaging the power consumption accumulation end points of the data of each original side channel; or
And carrying out correlation analysis on each piece of original side channel data.
In the implementation, when performing correlation analysis on each original side channel data, it is mainly analyzed whether each original side channel data has the same form, at least two original side channel data with the same form are found, an average value of all end points in at least two original side channel data with the same form is calculated, and an end point of one original side channel data is determined.
In implementation, the power consumption accumulation starting point and the power consumption accumulation end point of the original side channel data are determined, and the time of the original side channel data in the power consumption accumulation period is actually determined, so that the number of operation cycles of the security chip occupied in the power consumption accumulation period is determined.
As an alternative embodiment, obtaining the processed side channel data according to the original side channel data and the trend curve data includes:
and determining the processed side channel data according to the difference value of the original side channel data and the trend curve data.
As an optional implementation, sampling the original side channel data of the security chip includes:
sampling the original side channel data in the start-up phase or the end phase of the security chip.
The first embodiment is described in detail below by specific implementation steps, which are as follows:
step 201: sampling data of the original side channel of the setting bar of the security chip;
specifically, 5 original side channel data of the security chip may be sampled.
Step 202: and determining the starting point and the end point of the data of the original side channel in the power consumption accumulation stage when the security chip is started.
Specifically, the starting points of the 5 pieces of original side channel data in the power consumption accumulation period may be aligned, and the end points of the 5 pieces of different original data in the power consumption accumulation period may be averaged to determine the starting point and the end point of the original side channel data in the power consumption accumulation stage of the secure chip.
Step 203: and determining the number K of the operating cycles of the security chip occupied during the power consumption accumulation period when the security chip is started according to the starting point and the end point.
Step 204: as shown in fig. 4, the cutoff frequency M of the low-pass filter is determined according to the following formula;
and M is L/K, and L is the operating frequency of the security chip.
Step 205: as shown in fig. 5, the low-pass filter performs low-pass filtering on the side channel data to obtain trend curve data corresponding to the side channel data;
step 206: as shown in fig. 6, the side channel data without the cumulative influence of power consumption is obtained by subtracting the corresponding trend curve data from the side channel data.
Step 207: performing collision detection on the side channel data without the accumulated influence of power consumption;
specifically, fig. 7 is a diagram in which correlation calculation is directly performed on side channel data without power consumption accumulation in the past when the security chip is started, a horizontal axis and a vertical axis in fig. 7 are time axes, an upper left corner in the diagram is correlation data of the security chip when the security chip is started, and it can be known from the diagram that the correlation data is affected by power consumption accumulation, so that colors in the diagram are unclear, and a side channel data collision detection effect between a starting stage and a steady stage after the starting stage is reduced, as shown in fig. 8, an effect of directly performing collision detection on the side channel data without power consumption accumulation in the past is as follows:
knowing that the point A and the point B are negative correlation conflicts, detecting the conflict between the point A and the point B at the point C, and judging that the point C has no conflict, namely, the point A and the point B are not detected;
fig. 9 is a graph showing that after power consumption accumulation is performed, correlation calculation is performed on side channel data, the upper left corner in the graph is correlation data of the security chip during startup, and it can be seen from the graph that the color of the upper left corner is clear, which indicates that the correlation result is not affected by power consumption accumulation, and the side channel data collision detection effect between the startup stage and the steady stage after startup can be improved, as shown in fig. 10, the effect of performing collision detection on side channel data after power consumption accumulation is as follows:
it is known that the points a and B are negative correlation collisions, and the result of collision detection for the points a and B is at point C, which is black in the figure, and it is described that collision occurs at the point C, that is, collision between the points a and B is detected.
In fig. 8 and 10, the collision can be detected as the point C is closer to the black explanation, and the collision is detected as the point C is black.
Example two
Based on the same inventive concept, the present invention further provides a side channel data processing device, and the specific implementation of the device may refer to the description of the method embodiment section, and repeated details are not repeated.
The apparatus comprises: a processor and a memory, wherein the memory stores program code, and when the program code is executed by the processor, the processor is configured to perform the following steps:
sampling original side channel data of the security chip;
carrying out low-pass filtering on the original side channel data by using the low-pass filter to obtain trend curve data of the original side channel data;
and obtaining processed side channel data according to the original side channel data and the trend curve data.
As an optional implementation, the processor is further configured to:
and carrying out correlation analysis on the processed side channel data so as to carry out collision detection on the processed side channel data.
As an alternative embodiment, obtaining the processed side channel data according to the original side channel data and the trend curve data includes:
and determining the processed side channel data according to the difference value of the original side channel data and the trend curve data.
As an optional implementation manner, when sampling the original side channel data of the security chip, the method further includes:
when the security chip is started, the power consumption accumulation occurs on the side channel, and the number of the operation cycles occupied by the security chip in the power consumption accumulation period is determined;
and determining the cut-off frequency of the low-pass filter according to the number of the operation cycles occupied by the security chip during the power consumption accumulation period.
As an alternative implementation, determining the cut-off frequency of the low-pass filter includes:
and if the number of the operation cycles occupied by the safety chip in the power consumption accumulation period is K, determining that the cut-off frequency M of the low-pass filter is L/K, wherein L is the operation frequency of the safety chip.
As an optional implementation manner, determining the number of operation cycles occupied by the secure chip during the power consumption accumulation period includes:
in the power consumption accumulation period of the safety chip, setting original side channel data are sampled, and a power consumption accumulation starting point and a power consumption accumulation end point of each original side channel data are determined;
after aligning the power consumption accumulation starting points of all original side channel data, preprocessing all original side channel data to determine a power consumption accumulation end point;
and determining the number of the operation cycles occupied by the security chip in the power consumption accumulation period according to the aligned power consumption accumulation starting point and the determined power consumption accumulation end point.
As an optional implementation, preprocessing each raw side channel data includes:
averaging the power consumption accumulation end points of the data of each original side channel; or
And carrying out correlation analysis on each piece of original side channel data.
As an optional implementation, sampling the original side channel data of the security chip includes:
sampling the original side channel data in the start-up phase or the end phase of the security chip.
EXAMPLE III
Based on the same inventive concept, the present invention further provides a side channel data processing apparatus, and the specific implementation of the apparatus can refer to the description of the method embodiment section, and repeated descriptions are omitted.
As shown in fig. 11, the apparatus includes:
a sampling unit 110, configured to sample original side channel data of the security chip;
the filtering unit 111 is configured to perform low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
and a data unit 112, configured to obtain processed side channel data according to the original side channel data and the trend curve data.
As an optional implementation, the apparatus is further configured to:
and carrying out correlation analysis on the processed side channel data so as to carry out collision detection on the processed side channel data.
As an alternative implementation, the data unit 112 is further configured to:
and determining the processed side channel data according to the difference value of the original side channel data and the trend curve data.
As an optional implementation manner, when sampling the original side channel data of the security chip, the method further includes:
when the security chip is started, the power consumption accumulation occurs on the side channel, and the number of the operation cycles occupied by the security chip in the power consumption accumulation period is determined;
and determining the cut-off frequency of the low-pass filter according to the number of the operation cycles occupied by the security chip during the power consumption accumulation period.
As an alternative embodiment, the method comprises the following steps:
and if the number of the operation cycles occupied by the safety chip in the power consumption accumulation period is K, determining that the cut-off frequency M of the low-pass filter is L/K, wherein L is the operation frequency of the safety chip.
As an optional implementation manner, determining the number of operation cycles occupied by the secure chip during the power consumption accumulation period includes:
in the power consumption accumulation period of the safety chip, setting original side channel data are sampled, and a power consumption accumulation starting point and a power consumption accumulation end point of each original side channel data are determined;
after aligning the power consumption accumulation starting points of all original side channel data, preprocessing all original side channel data to determine a power consumption accumulation end point;
and determining the number of the operation cycles occupied by the security chip in the power consumption accumulation period according to the aligned power consumption accumulation starting point and the determined power consumption accumulation end point.
As an optional implementation, preprocessing each raw side channel data includes:
averaging the power consumption accumulation end points of the data of each original side channel; or
And carrying out correlation analysis on each piece of original side channel data.
As an optional implementation, sampling the original side channel data of the security chip includes:
sampling the original side channel data in the start-up phase or the end phase of the security chip.
As will be appreciated by one skilled in the art, embodiments of the present invention may be provided as a method, system, or computer program product. Accordingly, the present invention may take the form of an entirely hardware embodiment, an entirely software embodiment or an embodiment combining software and hardware aspects. Furthermore, the present invention may take the form of a computer program product embodied on one or more computer-usable storage media (including, but not limited to, disk storage, optical storage, and the like) having computer-usable program code embodied therein.
The present invention is described with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention. It will be understood that each flow and/or block of the flow diagrams and/or block diagrams, and combinations of flows and/or blocks in the flow diagrams and/or block diagrams, can be implemented by computer program instructions. These computer program instructions may be provided to a processor of a general purpose computer, special purpose computer, embedded processor, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions specified in the flowchart flow or flows and/or block diagram block or blocks.
These computer program instructions may also be stored in a computer-readable memory that can direct a computer or other programmable data processing apparatus to function in a particular manner, such that the instructions stored in the computer-readable memory produce an article of manufacture including instruction means which implement the function specified in the flowchart flow or flows and/or block diagram block or blocks.
These computer program instructions may also be loaded onto a computer or other programmable data processing apparatus to cause a series of operational steps to be performed on the computer or other programmable apparatus to produce a computer implemented process such that the instructions which execute on the computer or other programmable apparatus provide steps for implementing the functions specified in the flowchart flow or flows and/or block diagram block or blocks.
It will be apparent to those skilled in the art that various changes and modifications may be made in the present invention without departing from the spirit and scope of the invention. Thus, if such modifications and variations of the present invention fall within the scope of the claims of the present invention and their equivalents, the present invention is also intended to include such modifications and variations.

Claims (10)

1. A side channel data processing method, the method comprising:
sampling original side channel data of the security chip;
carrying out low-pass filtering on the original side channel data by using a low-pass filter to obtain trend curve data of the original side channel data;
and obtaining processed side channel data according to the original side channel data and the trend curve data.
2. The method of claim 1, further comprising:
and carrying out correlation analysis on the processed side channel data so as to carry out collision detection on the processed side channel data.
3. The method of claim 1, wherein deriving processed side channel data from the original side channel data and the trend curve data comprises:
and determining the processed side channel data according to the difference value of the original side channel data and the trend curve data.
4. The method of claim 1, wherein sampling the original side channel data of the security chip further comprises:
when the security chip is started, power consumption accumulation occurs on a side channel, and the number of operation cycles occupied by the security chip during the power consumption accumulation period is determined;
and determining the cut-off frequency of the low-pass filter according to the number of the operation cycles occupied by the security chip during the power consumption accumulation period.
5. The method of claim 4, comprising:
and if the number of the operation cycles occupied by the safety chip in the power consumption accumulation period is K, determining that the cut-off frequency M of the low-pass filter is L/K, wherein L is the operation frequency of the safety chip.
6. The method of claim 4, wherein determining the number of operating cycles occupied by the security chip during the accumulation of power consumption comprises:
in the power consumption accumulation period of the safety chip, setting original side channel data are sampled, and a power consumption accumulation starting point and a power consumption accumulation end point of each original side channel data are determined;
after aligning the power consumption accumulation starting points of all original side channel data, preprocessing all original side channel data to determine a power consumption accumulation end point;
and determining the number of the operation cycles occupied by the security chip during the power consumption accumulation period according to the aligned power consumption accumulation starting point and the determined power consumption accumulation end point.
7. The method of claim 6, wherein preprocessing each raw side channel data comprises:
averaging the power consumption accumulation end points of the data of each original side channel; or
And carrying out correlation analysis on each piece of original side channel data.
8. The method of claim 1, wherein sampling raw side channel data of the security chip comprises:
sampling the original side channel data in the start-up phase or the end phase of the security chip.
9. A side channel data processing apparatus, characterized in that the apparatus comprises: a processor and a memory, wherein the memory stores program code that, when executed by the processor, causes the processor to perform the steps of:
sampling original side channel data of the security chip;
carrying out low-pass filtering on the original side channel data by using the low-pass filter to obtain trend curve data of the original side channel data;
and obtaining processed side channel data according to the original side channel data and the trend curve data.
10. A side channel data processing apparatus, comprising:
the sampling unit is used for sampling original side channel data of the security chip;
the filtering unit is used for carrying out low-pass filtering on the original side channel data by utilizing the low-pass filter to obtain trend curve data of the original side channel data;
and the data unit is used for obtaining the processed side channel data according to the original side channel data and the trend curve data.
CN201811544680.8A 2018-12-17 2018-12-17 Side channel data processing method and equipment Active CN111327413B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811544680.8A CN111327413B (en) 2018-12-17 2018-12-17 Side channel data processing method and equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811544680.8A CN111327413B (en) 2018-12-17 2018-12-17 Side channel data processing method and equipment

Publications (2)

Publication Number Publication Date
CN111327413A true CN111327413A (en) 2020-06-23
CN111327413B CN111327413B (en) 2023-04-07

Family

ID=71166136

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811544680.8A Active CN111327413B (en) 2018-12-17 2018-12-17 Side channel data processing method and equipment

Country Status (1)

Country Link
CN (1) CN111327413B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113158181A (en) * 2021-04-15 2021-07-23 上海交通大学 Method for carrying out end-to-end attack on original side channel data by using neural network
CN115664633A (en) * 2022-12-27 2023-01-31 飞腾信息技术有限公司 Waveform processing method and device
CN116400200A (en) * 2023-06-05 2023-07-07 中国汽车技术研究中心有限公司 Cross verification method for electromagnetic side channel information of vehicle-gauge security chip
CN117040722A (en) * 2023-10-08 2023-11-10 杭州海康威视数字技术股份有限公司 Side channel analysis method based on multi-loss regularized noise reduction automatic encoder

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110145595A1 (en) * 2009-12-11 2011-06-16 Electronics And Telecommunications Research Institute Secure device and method for preventing side channel attack
CN108847923A (en) * 2018-06-27 2018-11-20 上海交通大学 Bypass attack Pre-processing method for curves based on low-pass filtering

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110145595A1 (en) * 2009-12-11 2011-06-16 Electronics And Telecommunications Research Institute Secure device and method for preventing side channel attack
CN108847923A (en) * 2018-06-27 2018-11-20 上海交通大学 Bypass attack Pre-processing method for curves based on low-pass filtering

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113158181A (en) * 2021-04-15 2021-07-23 上海交通大学 Method for carrying out end-to-end attack on original side channel data by using neural network
CN115664633A (en) * 2022-12-27 2023-01-31 飞腾信息技术有限公司 Waveform processing method and device
CN116400200A (en) * 2023-06-05 2023-07-07 中国汽车技术研究中心有限公司 Cross verification method for electromagnetic side channel information of vehicle-gauge security chip
CN116400200B (en) * 2023-06-05 2023-09-01 中国汽车技术研究中心有限公司 Cross verification method for electromagnetic side channel information of vehicle-gauge security chip
CN117040722A (en) * 2023-10-08 2023-11-10 杭州海康威视数字技术股份有限公司 Side channel analysis method based on multi-loss regularized noise reduction automatic encoder
CN117040722B (en) * 2023-10-08 2024-02-02 杭州海康威视数字技术股份有限公司 Side channel analysis method based on multi-loss regularized noise reduction automatic encoder

Also Published As

Publication number Publication date
CN111327413B (en) 2023-04-07

Similar Documents

Publication Publication Date Title
CN111327413B (en) Side channel data processing method and equipment
US10963551B2 (en) Method and apparatus for user authentication based on feature information
CN102510566B (en) Method and device for searching neighbor-cell of LTE (long term evolution) system
CN102222199A (en) Method and system for identifying identification of application program
CN104063642A (en) Intelligent terminal safety authentication method and device based on pressure sensor
CN106850511B (en) Method and device for identifying access attack
CN104483602A (en) Local discharge signal identification method and device
CN108075807B (en) Cell identifier detection method and device
KR100884743B1 (en) Method for matching fingerprint using minutiae and binary image and system using the same
CN109472906B (en) Digital key generation method, application method, device, system, terminal and medium
CN106778276B (en) Method and system for detecting malicious codes of entity-free files
CN113609535A (en) Side channel curve feature extraction method and device
CN110718004B (en) Unlocking method and device and storage medium
CN108242993B (en) Method and device for aligning side channel signal and reference signal
CN102223345B (en) Time slot synchronization method and symbol synchronization method
CN112742280A (en) Chaotic state detection method and system of hybrid system
CN103473491A (en) Writing process based mobile terminal user identification system and method
Teodorescu et al. Efficiency of a combined protection method against correlation
CN105610571B (en) A kind of Encryption of multivariate quadratic equation
CN112611929B (en) Abnormality detection method and related device applied to three-phase alternating current
EP3264311B1 (en) A protection method and device against a side-channel analysis
CN108243126A (en) A kind of method and device of determining beat dislocation point
KR20130056170A (en) Real-time detection method of human abnormality using motion sequence and apparatus thereof
CN103093144A (en) Detection method and detection system of crypto module application program interface (API) safety
CN109412901B (en) Method and system for detecting continuity of acquired data based on time domain processing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant