CN111104768A - 一种基于数字孪生模型的时序类潜在问题识别方法及系统 - Google Patents

一种基于数字孪生模型的时序类潜在问题识别方法及系统 Download PDF

Info

Publication number
CN111104768A
CN111104768A CN201911336581.5A CN201911336581A CN111104768A CN 111104768 A CN111104768 A CN 111104768A CN 201911336581 A CN201911336581 A CN 201911336581A CN 111104768 A CN111104768 A CN 111104768A
Authority
CN
China
Prior art keywords
model
digital twin
circuit system
circuit
establishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911336581.5A
Other languages
English (en)
Other versions
CN111104768B (zh
Inventor
胡昌华
李天梅
司小胜
郑建飞
张建勋
杜党波
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rocket Force University of Engineering of PLA
Original Assignee
Rocket Force University of Engineering of PLA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rocket Force University of Engineering of PLA filed Critical Rocket Force University of Engineering of PLA
Priority to CN201911336581.5A priority Critical patent/CN111104768B/zh
Publication of CN111104768A publication Critical patent/CN111104768A/zh
Application granted granted Critical
Publication of CN111104768B publication Critical patent/CN111104768B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本发明涉及一种基于数字孪生模型的时序类潜在问题识别方法及系统。方法包括:建立电路系统数字孪生几何模型;建立电路系统数字孪生物理模型;根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型;建立电路系统数字孪生行为模型;根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型;根据所述数字孪生模型,进行时序类潜在问题识别。本发明能够对大型复杂电路系统的时序类潜在问题进行有效识别以及有效避免由于潜在通路导致的非期望功能被激发或期望功能被抑制带来的问题。

Description

一种基于数字孪生模型的时序类潜在问题识别方法及系统
技术领域
本发明涉及时序类潜在问题识别领域,特别是涉及一种基于数字孪生模型的时序类潜在问题识别方法及系统。
背景技术
潜在问题是与元器件失效无关,在特定条件下会导致系统期望功能被非预期地抑制或非期望功能被非预期的激发的一类问题。国内外研究表明大型复杂系统之中不可避免地普遍存在潜在问题,而且潜在问题是非设计预期的特定条件下激发的一类问题,用常规的检测方法和可靠性分析方法很难发现这类问题,具有很高的隐蔽性。潜在问题一旦被激发,其产生的后果往往是灾难性的,对系统造成很高的危害。潜在问题是系统的复杂性和设计人员有限把握能力之间矛盾斗争的必然结果。试想一下,如果在设计阶段,就能利用计算机技术、人工智能与机器学习技术对大型复杂电路系统进行仿真建模,必将可以有效识别潜在问题,避免由潜在问题被激发带来的一系列灾难性后果。
大型复杂电路系统潜在通路分析注重系统各元、部件之间的相互连接、相互关系及相互影响,现有的潜在问题识别方法主要分为两大类:基于定性仿真的潜在问题识别方法和基于定量仿真的潜在问题识别方法。基于定性仿真的方法常将电路元件模型定性化或简单化,只考虑几种主要情况,且对电路系统的简化处理必将丢失许多有用的信息。基于定量分析的方法力图使元件的模型尽可能全面地描述元件的各种属性,含有的信息更全面更丰富,但需考虑的因素很多,导致建模的难度高、工作量大,目前还没有较为实用的基于传统模式的定量分析方法。
发明内容
本发明的目的是提供一种基于数字孪生模型的时序类潜在问题识别方法及系统,能够对大型复杂电路系统的时序类潜在问题进行有效识别以及有效避免由于潜在通路导致的非期望功能被激发或期望功能被抑制带来的问题。
为实现上述目的,本发明提供了如下方案:
一种基于数字孪生模型的时序类潜在问题识别方法,包括:
建立电路系统数字孪生几何模型;
建立电路系统数字孪生物理模型;
根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型;
建立电路系统数字孪生行为模型;
根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型;
根据所述数字孪生模型,进行时序类潜在问题识别。
可选的,所述建立电路系统数字孪生几何模型,具体包括:
根据电路原理图获取所有元件集合及节点集合;
按支路集合构造算法构造电路中所有的支路集合;
根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合;
根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
可选的,所述建立电路系统数字孪生物理模型,具体包括:
根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
可选的,所述根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型,具体包括:
根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
可选的,所述建立电路系统数字孪生行为模型,具体包括:
根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
一种基于数字孪生模型的时序类潜在问题识别系统,包括:
几何模型建立模块,用于建立电路系统数字孪生几何模型;
物理模型建立模块,用于建立电路系统数字孪生物理模型;
规则模型建立模块,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型;
行为模型建立模块,用于建立电路系统数字孪生行为模型;
数字孪生模型建立模块,用于根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型;
问题识别模块,用于根据所述数字孪生模型,进行时序类潜在问题识别。
可选的,所述几何模型建立模块,具体包括:
元件集合及节点集合获取单元,用于根据电路原理图获取所有元件集合及节点集合;
支路集合获取单元,用于按支路集合构造算法构造电路中所有的支路集合;
网孔集合获取单元,用于根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合;
几何模型确定单元,用于根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
可选的,所述物理模型建立模块,具体包括:
物理模型建立单元,用于根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
可选的,所述规则模型建立模块,具体包括:
规则模型建立单元,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
可选的,所述行为模型建立模块,具体包括:
行为模型建立单元,用于根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
根据本发明提供的具体实施例,本发明公开了以下技术效果:
本发明给出了基于数字孪生4维模型的大型复杂电路系统时序类潜在问题识别方法。为大型复杂电路系统潜在通路分析与识别提供有力的理论依据和技术支撑,从而可以有效避免由于潜在通路导致的非期望功能被激发或期望功能被抑制带来的问题,保证了系统的安全运行,具有很好的工程应用价值。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动性的前提下,还可以根据这些附图获得其他的附图。
图1为本发明基于数字孪生模型的时序类潜在问题识别方法流程图;
图2为本发明支路、节点和网孔示意图;
图3为本发明基于数字孪生技术的潜在问题识别示意图;
图4为本发明红石火箭点火/关机电路原路图;
图5为本发明红石火箭点火/关机节点、支路与网孔集合示意图;
图6为本发明简化后的红石火箭点火/关机节点、支路与网孔集合示意图;
图7为本发明红石火箭点火/关机时序类潜在通路;
图8为本发明基于数字孪生模型的时序类潜在问题识别系统结构图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本发明的目的是提供一种基于数字孪生模型的时序类潜在问题识别方法及系统,能够对大型复杂电路系统的时序类潜在问题进行有效识别以及有效避免由于潜在通路导致的非期望功能被激发或期望功能被抑制带来的问题。
为使本发明的上述目的、特征和优点能够更加明显易懂,下面结合附图和具体实施方式对本发明作进一步详细的说明。
数字孪生是指利用数字技术对物理实体对象的特征、行为、形成过程和性能等进行描述和建模的过程和方法,也称为数字孪生技术。数字孪生模型是以数字化的方式建立物理实体的多维、多时空尺度、多学科、多物理量的动态虚拟模型来仿真和刻画物理实体在真实环境中的属性、行为、规则等,因此在大型复杂电路系统设计阶段,针对其潜在问题识别具有一定的可行性,并起到一定的技术支撑。基于数字孪生技术对大型复杂电路系统进行定量仿真并基于该数字孪生模型进行潜在问题识别技术如图1所示。此处的数字孪生模型是指基于虚拟电路系统所建立的能反应实际电路系统几何数据、物理数据、规则数据和行为数据的电路系统定量仿真模型。
图1为本发明基于数字孪生模型的时序类潜在问题识别方法流程图。如图1所示,一种基于数字孪生模型的时序类潜在问题识别方法包括:
步骤101:建立电路系统数字孪生几何模型,具体包括:
根据电路原理图获取所有元件集合及节点集合。
按支路集合构造算法构造电路中所有的支路集合。
根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合。
根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
采用电路系统拓扑结构来建立几何模型Gv。节点、支路和网孔是电路分析及网络拓扑中的基本要素,具体建模方法如下:
1)支路。支路是由满足以下两种条件之一的两条管脚所构成对象:①同一元件的两条不同管脚;②具有电气连通关系的两个单端元件的两条管脚。
2)节点。节点是连接到同一导线的两个或多个管脚的虚拟连接点。
3)网孔。网孔为电路中的闭合回路。对于电路中的支路集合B与节点集合N,每个网孔都对应于(B,N)的一个子集。
对于给定的电路图,可按前述方法确定支路集合与节点集合,并可借助图论中相关方法求出网孔集合。在图论中基于生成子树的概念生成最小回路,与之相对应,定义电路图中的最小生成树如下。
若树T是n个节点的电路图G的一颗生成树,则T是G的一个生成子图且是一棵树,其中树T的描述形式可为:①T连通且无网孔;②T的任意两个节点之间有唯一的通路;③T连通且有n-1条支路;④T无网孔,且若T添加上任意一条支路后则恰有一个网孔;⑤T连通,且若T去掉任意一条支路后不连通,则T为G的最小生成树。基于最小生成树定义求取给定电路图网孔集合算法如下:
步骤1:置网孔集合M为空。
步骤2:基于克鲁斯尔算法,由电路图所有支路集合B与节点集合N求得一个最小生成树T及与之相对应的剩余支路集合BR
步骤3:依次取出BR中的支路,添加到T中构成一个网孔,并将该网孔添加到M,则M为所求。
至此,对于任意给定的电路原理图G=(U,C),可根据C求得节点集合N,根据U与C求得支路集合B,并根据B与N求得网孔集合M。求得的节点集合N、支路集合B和网孔集合M及其相互连接关系即为电路系统数字孪生几何模型Gv
图2为本发明支路、节点和网孔示意图,如图3所示的电路系统,具有4个节点、6条支路,同时有(1,2,4,6)、(1,3,4,6)、(1,2,5,6)、(1,3,5,6)、(2,3)和(4,5)共6个网孔。
步骤102:建立电路系统数字孪生物理模型,具体包括:
根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
为了简便起见,管脚以管脚号代替,电压与电流分别以U和I代替,如管脚1的电压与电流则分别表示为U1和I1,以此类推。本发明基于以上电流/电压简化表示建立电路系统常见元器件数字孪生物理模型。
1)电源。对于电源类元件,其定量仿真模型为U1=V,U1为电源电压值。
2)地。定量仿真模型为U1=0,U1为地对应管脚处电压值。
3)电阻。对于电阻类元件,其定量仿真模型为R·I1=U1-U2,R为电阻阻值,I1为流经电阻的电流值,U1和U2为电阻元件管脚1、2处的电压值。
4)电感。对于电感类元件,其定量仿真模型为L·d(I1)=U1-U2,L为电感感抗值,I1为流经电感的电流值,U1和U2为电感元件管脚1、2处的电压值。
5)电容。对于电容类元件,其定量仿真模型为C·d(U1)-C·d(U2)=I1,C为电容容抗值,I1为流经电容的电流值,U1和U2为电容元件管脚1、2处的电压值。
6)二极管。对于二极管类元件,其定量仿真模型为:如果U1>=U2,I1>=0,则U1=U2;否则I1=0,I1为流经二极管的电流值,U1和U2为二极管元件管脚1、2处的电压值。
7)开关。对于开关类元件,其定量仿真模型为:如果C=on,则U1=U2;否则I1=0。其中,C为布尔型逻辑变量,U1和U2为开关元件管脚1、2处的电压值。
对于电路中其他元件,若有需要基于其机理亦可建立其物理模型,此处不再赘述。
步骤103:根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型,具体包括:
根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
规则模型是指在几何模型和物理模型建好之后,对于整个电路系统来说,管脚电压节点电流会受到基尔霍夫电流定律和基尔霍夫电压定律的影响。建立电路系统数字孪生规则模型具体包括如下步骤:
1)根据电路系统数字孪生物理模型,并按节点电压、网孔电流表示管脚电压、电流计算法,构造电路仿真源程序。
2)对于仿真模型中有求导的元件,获取相应求导项的初值,并将之添加入电路仿真源程序。
3)按矩阵方程生成算法,将电路仿真源程序中的方程语句转化成为矩阵方程对象,该矩阵方程为微分方程组,表示为x'=A·x+F(t),此处x为电路节点电压与网孔电流变量组成的向量。
4)令x1为电路中参与求导运算的节点电压与网孔电流变量所组成的向量,令x2为电路中不参与求导运算的节点电压与网孔电流变量所组成的向量,x1和x2均为x的子集。
5)按动态电路分析中微分方程组正规化算法将微分方程组正规化,得x1'=A1·x1+F1(t),x2=Ad·x1+Bd·F。
6)求解x1'=A1·x1+F1(t)的通解。
①按伴随矩阵求取算法求得与A1具有相同特征式的伴随矩阵B1,以此列出A1的特征方程式P(t)。
②按多项式求根算法求得P(t)的所有特征根集合Υ,λ为特征根集合Υ中的每一个特征根。
③根据λ列写出Γ矩阵。
④由A1·K-K·Γ=0,按通解系数求取算法将K阵各元素以K阵第一行各元素表示。
⑤A1·K·Λ为与特征根λ相对应的通解。
⑥所有特征根对应的通解之和构成x1'=A1·x1+F1(t)的通解。
7)按微分法求x1'=A1·x1+F1(t)的特解。
①列出F1(t)对应的(αii)集合。
②处理(αii)集合中每一个(αii)对。
③根据复数αiii与A1特征根的对应情况,即(αii)为A1的k充特征根(k为非负整数),列写出Λcos与Λsin及对应的系数阵C,S,D,E,求得特征秩r,并根据αi与r,k求得Ψ矩阵。
④根据方程组
Figure BDA0002331098610000081
用通解系数求取算法求得D,E的各元素。
⑤xs=D·Λcos+E·Λsin为对应(αii)的特解。
⑥所有(αii)对应的特解之和为x1'=A1·x1+F1(t)的特解。
8)x1'=A1·x1+F1(t)的解为通解和特解之和。
9)电路的初值代入x1'=A1·x1+F1(t)的解,列出线性仿真组,解得x1'=A1·x1+F1(t)的通解中的待定系数,即所有K阵的第一行,以此得到x1的精确解。
10)由x2=Ad·x1+Bd·F解得x2
11)根据节点电压、网孔电流表示管脚电压、电流算法求得各元件管脚电压与电流。
12)各元件根据其所有管脚电压与电流更新其状态,电路根据所有元件状态进行定量数字仿真。
步骤104:建立电路系统数字孪生行为模型,具体包括:
根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
反应延时模型描述了不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境与干扰,以及内部运行机制共同作用下产生的实时响应及行为,如随时间推进的演化行为、动态功能行为、性能退化行为等。创建数字孪生体行为模型是一个复杂的过程,涉及问题模型、评估模型、决策模型等多种模型的构建,可利用有限状态机、马尔科夫链、神经网络、复杂网络、基于本体的建模方法进行Bv创建。
反应延时模型包含三个部分:输入变化、延时量、受影响的输出。延时量可用T或rand(ε)表示;输出为所影响的物理量,主要包括相关管脚的电流/电压量,可用Output表示,缺省为全部管脚;而输入变化则主要指相关的输入的跳变形式,包括管脚的电流/电压跳变、元件的自定义属性跳变,前者如数字芯片的时钟输入,后者如开关的开闭动作。跳变可用事件表示,即为Event度量。一般而言,输入变化为相关的管脚的电流/电压的高低状态之间的跳变。因此,单个延时模型可表示为(Event,D,Output)。
步骤105:根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型。
数字孪生模型(VE)主要包括了几何模型(Gv)、物理模型(Pv)、规则模型(Rv)、行为模型(Bv),因此电路系统数字孪生模型(VE)可刻画成
VE=(Gv,Pv,Rv,Bv) (1)
其中,Gv描述电路系统元器件之间的几何关系,使数字孪生体与实物样机具有良好的时空一致性,Pv描述电路系统中各元器件的物理特性,Rv描述电路系统在拓扑模式约束下,节点/回路的电压/电流等所遵守的规则,Bv描述电路系统中某元器件随时间等变化的行为特性。
步骤106:根据所述数字孪生模型,进行时序类潜在问题识别。
为分析时序类潜在问题,首先定义几个术语。
电路状态:在t时刻,称电路中所有元件的自定义属性值的组合为该电路在t时刻的状态。
功能:某种特征行为,对于给定电路而言,功能与该电路功能元件相对应,当某功能元件在某种条件工作时,称之为实现了该功能元件所具备的相应功能。
电路功能:在给定电路状态下,电路中所有功能的组合为电路功能。
电路的功能取决于组成电路的功能元件所实现的功能,元件的功能取决于元件所处的工作状态,元件可能存在多个状态,传统的潜在通路分析在某种拓扑结构下按照某些线索表分析判断系统是否存在潜在问题。
电路期望功能:可表示为{功能集合|电路状态},即在某电路状态下出现的所有功能的集合。因此,确定系统的期望功能需要两方面的信息:电路状态与功能集合。
若严格按电路状态定义,电路状态将由电路中所有的元件的自定义属性组合而成,对于复杂的电路而言,状态的表示将十分冗长,且包含有许多对于功能并无影响的属性,如电阻的阻值等,因此称能影响电路功能的元件类型为状态相关类型。
常见的状态相关元件有开关、刀闸、继电器及其节点等,其状态将影响到电路的状态,定义期望功能中的电路状态为相关类型元件的自定义属性状态的组合,可用符号表示为S={Si|i=1,2,…}。作为功能类潜在通路分析的目的在于期望功能实现与否,功能集合可表示为F={Fi|i=1,2,…}。系统的期望功能可表示为E={F|S}。
时序类潜在通路的内涵指明了进行时序潜在通路分析需要进行一段时间的仿真分析,其核心思想是在某一时刻进行单词仿真分析,根据各元件流程的最早改变时刻确定下一需要仿真的时刻。
引起时序类潜在问题的主要原因在于电路中的某些元件对于输入的响应需要一定的延时才能完成。比如脱落插头等,因此识别时序类潜在通路需要进行一段时间的仿真分析,具体算法如下:
1)设置初值。首先假设仿真初始时刻为t1,根据t1时刻属性跳变的元件集合U,及在t1时刻将跳变的属性,由前面建立的数字孪生行为模型确定各延时项,取得其中延时量为随机值的延时项,构成延时项集合L,记L中所有延时量最大值为m,L的元素数目为k。
2)识别在t1时刻电路系统的潜在通路。将电路G划分为各独立子电路{gi|i=1,2,…,n},其中n为G的独立子电路数目,对于i=1,2,…,n循环处理,具体步骤如下:
①取第i个独立子电路gi
②取得期望功能集合E中属于gi的期望功能子集Ei
③取得gi中所有状态相关类型元件构成元件集合。
④将U1中属于Ei中电路状态部分的状态相关类型元件删除,得到状态相关类型次要元件集合U。
⑤通过求取U中各元件的自定义属性的笛卡尔积,得到电路次要状态集合,记其元件数目为m。
⑥对于j=1,2,…,m循环处理。
a.取得第j个次要状态,与Ei中电路状态相合并以确定当前电路状态Si
b.置Ei中所有功能对象的实现状态为FALSE。
c.根据前面所述的数字孪生建模方法,建立数字孪生几何模型、物理模型、规则模型,并对规则模型进行求解,求得各节点电压、网孔电流,更新各元件状态。
d.依次处理各功能元件,确定其功能F,检查
Figure BDA0002331098610000121
是否成立,若成立,则置F的状态为TRUE;否则,报告实现了非期望功能F,其电路状态为Si
e.检查Ei中的所有功能元素对象FEi的实现标志,若为FALSE,则报告期望功能FEi未实现。
至此可识别独立子电路gi在t1时刻是否存在潜在问题,进而当n个独立子电路被循环处理完以后,可识别出整个电路系统在t1时刻是否存在潜在问题。
3)在步骤2)基础上,识别当存在反应随机延时项时的电路系统潜在问题。对于反应延时项集合L中的k元素,最大延时量记为m,数目i=1,2,…,k-1循环处理,具体步骤如下:
①取得集合L的第i个元素即某个元件的反应延时项Li,取得Li的最大延时量di
②若t≥t1+di,则在t时刻时,Li将满足条件,其对应的流程条件为真。
③若t<t1+di,则在t时刻时,求R55与Li笛卡尔积并将结果存入R中,取得R的元素数目为r,对于i=1,2,…,r-1循环处理。
①将i表示为log2r位二进制数,以此确定R设置相应延时项的状态,即对应为1的延时项置其满足条件,对应为0的延时项置其不满足条件,并确定相应流程。
②按数字孪生模型建模方法对电路进行t时刻及后续时间分析。
③根据步骤2)潜在通路判定算法确定在此模式下有无潜在通路,若有,则报告触发时序类潜在通路。
基于以上过程,即可实现电路系统数字孪生四维模型建模及基于该数字孪生模型的时序类潜在问题识别。图3为本发明基于数字孪生技术的潜在问题识别示意图。
图4为本发明红石火箭点火/关机电路原路图。设计的期望功能是点火线圈由点火开关控制,关机马达线圈由弹上关机开关控制,这两个线圈通过点火自保与关机马达自保这两个常开节点进行自保。按下点火开关,在脱落插头脱落前,点火指示灯亮;在点火开关闭合期间,点火线圈工作,点火成功,脱落插头脱落,火箭起飞。
首先建立红石火箭点火/关机电路数字孪生几何模型,按照节点、支路的定义,求得节点集合B、支路直接N还有网孔集合M。简化后的集合模型如图5所示,其中DHL、GJL1、GJL2的为功能元件,ZSD的为指示元件,DH1、DH2、GJ1、GJ2、TC1、TC2和TC3为状态相关元件,图5为本发明红石火箭点火/关机节点、支路与网孔集合示意图。
由图4可知,该电路原理图共有12个节点,12条支路,同时有14个网孔,如:(DH1,DH2)、(GJ1,GJ2)、(BD,GJL2),(DY,DH1,TC1,ZSD,TC3),(DY,DH2,DHL),(DY,GJ1,GJL1)、(DY,GJ2,GJL1)、(DHL,TC1,ZSD,TC3)、(GJL1,TC2,GJL2,TC3)、(GJL1,TC2,BD,TC3)、(DY,DH1,TC1,BD,TC2,GJL1)、(DY,DH1,TC1,ZSD,GJL2,TC2,GJL1)、(DY,DH1,DHL,TC2,GJL2,TC2,GJL2,TC3)、(DY,DH2,DHL,TC2,GJL2,TC2,GJL2,TC3)。在这14个网孔中,重点关注功能相关元件所涉及到的网孔,即包含有DHL、GJL1和GJL2的网孔。同时考虑到功能元件和状态元件的逻辑关系:当DHL通电是,DH1和DH2常开开关闭合,当GJL1通电时,GJ1和GJ2常开开关闭合,当GJL2通电时,ZSD亮,提示点火成功。
接下来,定义DHL=L1,GJL1=L2,GJL2=L3,围绕功能元件DHL、GJL1和GJL2建立红石火箭点火/关机电路的数字孪生元件物理模型,具体如下。
U1=28V。
U12=U13=0。
L1·d(IL1)=U5-U8
L2·d(IL2)=U7-U8
L3·d(IL3)=U11-U9
U10≥U9,IBD≥0,U10<U9,IBD<0。
状态相关元件DH1、DH2、GJ1、GJ2、TC1、TC2和TC3的状态为开或关,对应的电路系统数字孪生物理模型分别为:
DH1=on,U1=U4;DH1=off,IDH1=0
DH2=on,U2=U5;DH2=off,IDH2=0
GJ1=on,U3=U6;GJ1=off,IGJ1=0
GJ2=on,U3=U7;GJ2=off,IGJ2=0。
TC1=on,U4=U10;TC1=off,ITC1=0
TC2=on,U6=U9;TC2=off,ITC2=0
TC3=on,U12=U13;TC3=off,ITC3=0
通过分析红石火箭点火/关机电路原理可知,点火线圈DHL通电,点火开关DH1闭合,点火开关DH2自保,同时GJL2通电,点火指示灯ZSD亮,脱插TC1、TC2和TC3脱落,点火成功。而关机开关GJ1只有在GJL1通电的情况下闭合,同时GJ2自保,火箭关机。分析该火箭点火电路功能应为点火线圈DHL通电,点火开关DH1闭合,点火开关DH2自保,同时GJL2通电,点火指示灯ZSD亮,脱插TC1、TC2和TC3脱落,万万不能使关机关机线圈GJL2,进而导致弹上关机开关GJ1闭合,导致已经点火的火箭又异常关机。图6为本发明简化后的红石火箭点火/关机节点、支路与网孔集合示意图。
潜在通路分析假设电路系统中的元件都是正常的,对于图4中的元件,能引起潜在问题的是脱落插头TC1、TC2和TC3。脱落插头接收到脱落指令后到真正脱落需要一定的时间,试想一下,如果三个脱落插头在DHL通电,DH1闭合是同时受到脱落指令,但其脱落时刻却不一定相同,从而有可能形成潜在通路。此处,假设脱落插头的反应延时量为随机数,且最大脱落延时量为1s,即脱落延时量D=rand(1)。脱落过程中,尾部脱落插头1、尾部脱落插头2、尾部接地插头3(简称TC1,、TC2、TC3)按先后顺序排列共有8种次序,假定在t0=0s时刻点火开关按下,而关机开关断开,而在t1=1s时刻脱落插头脱落,则按时序类潜在通路识别判定算法可知,当TC3脱落而TC1和TC2仍未脱落的情况下,GJL1线圈通电,GJ1闭合,GJ2自保,触发弹上紧急关机开关,火箭关机,触发了非期望功能,报告存在时序类潜在问题。触发该时序类潜在问题的电路图如图7中粗线所示。图7为本发明红石火箭点火/关机时序类潜在通路。
进一步验证可得,当TC3脱落而TC1和TC2没有脱落的情况下,流过线圈GJL2的电流IGJL2>0,因此GJ1闭合(IGJ1>0),GJ2自保(IGJ2>0),启动弹上紧急关机,导致潜在问题的发生。
这一分析结论与实际发生的潜在通路的分析结果一致,即TC3较TC1、TC2早脱落29ms。同时也说明脱落插头的脱落具有一定的随机性,因此红石火箭在58次发射成功后,第59次因为脱落插头脱落时间的随机性导致异常关机,沟通了时序类潜在通路。
图8为本发明基于数字孪生模型的时序类潜在问题识别系统结构图。如图8所示,一种基于数字孪生模型的时序类潜在问题识别系统包括:
几何模型建立模块201,用于建立电路系统数字孪生几何模型。
物理模型建立模块202,用于建立电路系统数字孪生物理模型。
规则模型建立模块203,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型。
行为模型建立模204,用于建立电路系统数字孪生行为模型。
数字孪生模型建立模块205,用于根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型。
问题识别模块206,用于根据所述数字孪生模型,进行时序类潜在问题识别。
所述几何模型建立模块201,具体包括:
元件集合及节点集合获取单元,用于根据电路原理图获取所有元件集合及节点集合。
支路集合获取单元,用于按支路集合构造算法构造电路中所有的支路集合。
网孔集合获取单元,用于根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合。
几何模型确定单元,用于根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
所述物理模型建立模块202,具体包括:
物理模型建立单元,用于根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
所述规则模型建立模块203,具体包括:
规则模型建立单元,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
所述行为模型建立模块204,具体包括:
行为模型建立单元,用于根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
本说明书中各个实施例采用递进的方式描述,每个实施例重点说明的都是与其他实施例的不同之处,各个实施例之间相同相似部分互相参见即可。对于实施例公开的系统而言,由于其与实施例公开的方法相对应,所以描述的比较简单,相关之处参见方法部分说明即可。
本文中应用了具体个例对本发明的原理及实施方式进行了阐述,以上实施例的说明只是用于帮助理解本发明的方法及其核心思想;同时,对于本领域的一般技术人员,依据本发明的思想,在具体实施方式及应用范围上均会有改变之处。综上所述,本说明书内容不应理解为对本发明的限制。

Claims (10)

1.一种基于数字孪生模型的时序类潜在问题识别方法,其特征在于,包括:
建立电路系统数字孪生几何模型;
建立电路系统数字孪生物理模型;
根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型;
建立电路系统数字孪生行为模型;
根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型;
根据所述数字孪生模型,进行时序类潜在问题识别。
2.根据权利要求1所述的基于数字孪生模型的时序类潜在问题识别方法,其特征在于,所述建立电路系统数字孪生几何模型,具体包括:
根据电路原理图获取所有元件集合及节点集合;
按支路集合构造算法构造电路中所有的支路集合;
根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合;
根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
3.根据权利要求1所述的基于数字孪生模型的时序类潜在问题识别方法,其特征在于,所述建立电路系统数字孪生物理模型,具体包括:
根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
4.根据权利要求1所述的基于数字孪生模型的时序类潜在问题识别方法,其特征在于,所述根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型,具体包括:
根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
5.根据权利要求1所述的基于数字孪生模型的时序类潜在问题识别方法,其特征在于,所述建立电路系统数字孪生行为模型,具体包括:
根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
6.一种基于数字孪生模型的时序类潜在问题识别系统,其特征在于,包括:
几何模型建立模块,用于建立电路系统数字孪生几何模型;
物理模型建立模块,用于建立电路系统数字孪生物理模型;
规则模型建立模块,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,建立电路系统数字孪生规则模型;
行为模型建立模块,用于建立电路系统数字孪生行为模型;
数字孪生模型建立模块,用于根据所述电路系统数字孪生几何模型、所述电路系统数字孪生物理模型、所述电路系统数字孪生规则模型和所述电路系统数字孪生行为模型,确定数字孪生模型;
问题识别模块,用于根据所述数字孪生模型,进行时序类潜在问题识别。
7.根据权利要求6所述的基于数字孪生模型的时序类潜在问题识别系统,其特征在于,所述几何模型建立模块,具体包括:
元件集合及节点集合获取单元,用于根据电路原理图获取所有元件集合及节点集合;
支路集合获取单元,用于按支路集合构造算法构造电路中所有的支路集合;
网孔集合获取单元,用于根据电路图最小生成树构造算法构造电路的最小生成树,并获取电路中所有的网孔集合;
几何模型确定单元,用于根据所述元件集合、所述节点集合、所述支路集合和所述网孔集合四种电路结构,建立电路系统数字孪生几何模型。
8.根据权利要求6所述的基于数字孪生模型的时序类潜在问题识别系统,其特征在于,所述物理模型建立模块,具体包括:
物理模型建立单元,用于根据电阻、电感、电容、电源、地、二极管和开关七个电路元件,建立电路系统数字孪生物理模型。
9.根据权利要求6所述的基于数字孪生模型的时序类潜在问题识别系统,其特征在于,所述规则模型建立模块,具体包括:
规则模型建立单元,用于根据所述电路系统数字孪生几何模型和所述电路系统数字孪生物理模型,采用基尔霍夫电流定律和基尔霍夫电压定律,建立电路系统数字孪生规则模型。
10.根据权利要求6所述的基于数字孪生模型的时序类潜在问题识别系统,其特征在于,所述行为模型建立模块,具体包括:
行为模型建立单元,用于根据不同粒度不同空间尺度下数字孪生体在不同时间尺度下的外部环境、干扰以及内部运行机制共同作用下产生的实时响应及行为,建立反应延时模型,所述反应延时模型为行为模型。
CN201911336581.5A 2019-12-23 2019-12-23 一种基于数字孪生模型的时序类潜在问题识别方法及系统 Active CN111104768B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911336581.5A CN111104768B (zh) 2019-12-23 2019-12-23 一种基于数字孪生模型的时序类潜在问题识别方法及系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911336581.5A CN111104768B (zh) 2019-12-23 2019-12-23 一种基于数字孪生模型的时序类潜在问题识别方法及系统

Publications (2)

Publication Number Publication Date
CN111104768A true CN111104768A (zh) 2020-05-05
CN111104768B CN111104768B (zh) 2020-08-21

Family

ID=70423227

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911336581.5A Active CN111104768B (zh) 2019-12-23 2019-12-23 一种基于数字孪生模型的时序类潜在问题识别方法及系统

Country Status (1)

Country Link
CN (1) CN111104768B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111967220A (zh) * 2020-08-20 2020-11-20 中国人民解放军火箭军工程大学 一种基于数字孪生模型随机行为潜在问题检测方法及系统
CN112381443A (zh) * 2020-11-25 2021-02-19 深圳数设科技有限公司 一种数字孪生体构建方法及系统
CN113065223A (zh) * 2021-03-02 2021-07-02 哈尔滨慧维科技有限公司 一种塔桅集群数字孪生模型多等级概率修正方法
CN114706338A (zh) * 2022-04-20 2022-07-05 北京金石视觉数字科技有限公司 一种基于数字孪生模型的交互控制方法及系统
CN115204057A (zh) * 2022-07-29 2022-10-18 贵州电网有限责任公司 一种面向输变电设备的数字孪生多层次模型构建方法
CN115759509A (zh) * 2022-11-11 2023-03-07 北京航空航天大学 复杂系统级数字孪生运行虚实一致性判定与交互方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856932A (en) * 1997-01-22 1999-01-05 Ford Global Technologies, Inc. Method and system for performing quantitative sneak circuit analysis
WO2007055729A3 (en) * 2005-05-19 2009-04-30 Reifer Consultants Inc Protecting applications software against unauthorized access, reverse engineering or tampering
CN101710359A (zh) * 2009-11-03 2010-05-19 中国科学院计算技术研究所 一种故障诊断系统及方法
CN101819252A (zh) * 2009-11-23 2010-09-01 电子科技大学 一种模拟电路故障诊断方法
CN107742046A (zh) * 2017-11-08 2018-02-27 哈尔滨工业大学 一种考虑继电器类单机贮存退化的潜通路分析方法
CN110008647A (zh) * 2019-05-08 2019-07-12 广东三向智能科技股份有限公司 数字孪生仿真装置和数字孪生仿真系统
US20190243933A1 (en) * 2018-02-07 2019-08-08 Incucomm, Inc. System and method that characterizes an object employing virtual representations thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856932A (en) * 1997-01-22 1999-01-05 Ford Global Technologies, Inc. Method and system for performing quantitative sneak circuit analysis
WO2007055729A3 (en) * 2005-05-19 2009-04-30 Reifer Consultants Inc Protecting applications software against unauthorized access, reverse engineering or tampering
CN101710359A (zh) * 2009-11-03 2010-05-19 中国科学院计算技术研究所 一种故障诊断系统及方法
CN101819252A (zh) * 2009-11-23 2010-09-01 电子科技大学 一种模拟电路故障诊断方法
CN107742046A (zh) * 2017-11-08 2018-02-27 哈尔滨工业大学 一种考虑继电器类单机贮存退化的潜通路分析方法
US20190243933A1 (en) * 2018-02-07 2019-08-08 Incucomm, Inc. System and method that characterizes an object employing virtual representations thereof
CN110008647A (zh) * 2019-05-08 2019-07-12 广东三向智能科技股份有限公司 数字孪生仿真装置和数字孪生仿真系统

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111967220A (zh) * 2020-08-20 2020-11-20 中国人民解放军火箭军工程大学 一种基于数字孪生模型随机行为潜在问题检测方法及系统
CN112381443A (zh) * 2020-11-25 2021-02-19 深圳数设科技有限公司 一种数字孪生体构建方法及系统
CN113065223A (zh) * 2021-03-02 2021-07-02 哈尔滨慧维科技有限公司 一种塔桅集群数字孪生模型多等级概率修正方法
CN114706338A (zh) * 2022-04-20 2022-07-05 北京金石视觉数字科技有限公司 一种基于数字孪生模型的交互控制方法及系统
CN114706338B (zh) * 2022-04-20 2023-01-31 北京金石视觉数字科技有限公司 一种基于数字孪生模型的交互控制方法及系统
CN115204057A (zh) * 2022-07-29 2022-10-18 贵州电网有限责任公司 一种面向输变电设备的数字孪生多层次模型构建方法
CN115759509A (zh) * 2022-11-11 2023-03-07 北京航空航天大学 复杂系统级数字孪生运行虚实一致性判定与交互方法
CN115759509B (zh) * 2022-11-11 2023-10-31 北京航空航天大学 复杂系统级数字孪生运行虚实一致性判定与交互方法

Also Published As

Publication number Publication date
CN111104768B (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
CN111104768B (zh) 一种基于数字孪生模型的时序类潜在问题识别方法及系统
Shakeri et al. Sequential testing algorithms for multiple fault diagnosis
Lefebvre et al. Stochastic Petri net identification for the fault detection and isolation of discrete event systems
Dotoli et al. Identification of the unobservable behaviour of industrial automation systems by Petri nets
KR20210131160A (ko) 딥러닝 모델 기반의 전력 수요 예측 장치 및 방법
CN108879732A (zh) 电力系统暂态稳定评估方法及装置
CN109002601A (zh) 一种基于Petri网的FPGA系统的验证模型建模方法
KR101742119B1 (ko) 이산 사건 시스템 모델과 연속시간 시스템 모델간의 조립을 이용한 하이브리드 시스템의 모델링 및 시뮬레이션을 위한 방법 및 장치
US8775124B2 (en) Method for the generation of analytical redundancy relations for system diagnostics
CN108304322A (zh) 一种压力测试方法及终端设备
Habibi et al. Towards an efficient assertion based verification of SystemC designs
Goings et al. An ecology-based evolutionary algorithm to evolve solutions to complex problems
Glazer Using python and Eppy for a large national simulation study
Santucci et al. Fuzzy discrete-event systems modeling and simulation with fuzzy control language and DEVS formalism
Danilova et al. Advanced genetic algorithm for the embedded FPGA logic diagnostic
JP3905951B2 (ja) シミュレーション/エミュレーションの効率を増すための論理変換方法
CN114252727A (zh) 一种基于人工智能技术的电网故障快速诊断方法
CN106294127A (zh) 基于聚类的面向对象软件测试用例生成方法
Man et al. The biological property of synthetic evolved digital circuits with ESD immunity–redundancy or degeneracy?
CN111967220B (zh) 一种基于数字孪生模型随机行为潜在问题检测方法及系统
Naskar et al. Hardware trojan detection using improved testability measures
CN112511355B (zh) 一种跨链智能合约合作可能性评估方法
Joshi Application Of Neural Network On PLC-based Automation Systems For Better Fault Tolerance And Error Detection
US11934756B2 (en) Reducing the numerical complexity of designs
Brutscheck et al. Optimisation and implementation of a nonlinear identification procedure for unknown ICs

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant