CN110989288B - Mask, mask system and preparation and photoetching methods - Google Patents

Mask, mask system and preparation and photoetching methods Download PDF

Info

Publication number
CN110989288B
CN110989288B CN201911328519.1A CN201911328519A CN110989288B CN 110989288 B CN110989288 B CN 110989288B CN 201911328519 A CN201911328519 A CN 201911328519A CN 110989288 B CN110989288 B CN 110989288B
Authority
CN
China
Prior art keywords
mask
layer
light
mask layer
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201911328519.1A
Other languages
Chinese (zh)
Other versions
CN110989288A (en
Inventor
周波
黎关超
刘福萌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BOE Technology Group Co Ltd
Beijing BOE Display Technology Co Ltd
Original Assignee
BOE Technology Group Co Ltd
Beijing BOE Display Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOE Technology Group Co Ltd, Beijing BOE Display Technology Co Ltd filed Critical BOE Technology Group Co Ltd
Priority to CN201911328519.1A priority Critical patent/CN110989288B/en
Publication of CN110989288A publication Critical patent/CN110989288A/en
Application granted granted Critical
Publication of CN110989288B publication Critical patent/CN110989288B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Abstract

The invention provides a mask, a mask system and a preparation and photoetching method, wherein the mask comprises the following components: the mask comprises a substrate and at least two mask layers which are arranged on the substrate in a laminated mode; each layer of mask layer comprises a light transmitting area and a shielding area, the shielding area of each layer of mask layer is set to shield exposure light of different wave bands to form different exposure patterns, and the light transmitting area of each layer of mask layer is set to be exposed light of each wave band penetrating through the mask plate; therefore, in the scheme, different exposure patterns can be formed under the condition that the mask is not turned over, so that the cost is saved, and the process is simplified.

Description

Mask, mask system and preparation and photoetching methods
Technical Field
The invention relates to the technical field of masks, in particular to a mask, a mask system, a preparation method and a photoetching method.
Background
In the process of fabricating electronic devices, it is often necessary to form various patterns using a reticle. For example, in the process of manufacturing various devices such as an IC (Integrated Circuit), an FPD (Flat Panel Display), a PCB (Printed Circuit Boards), and a MEMS (Micro Electro Mechanical Systems), selective exposure may be performed using a mask.
In some related schemes, in order to save the cost of the mask, different patterns are manufactured on the front surface and the back surface of the mask, so that one mask can be used for manufacturing circuit boards or other devices with different patterns. However, in this scheme, when devices with different patterns are manufactured, the mask needs to be turned over, and the process is complicated.
Disclosure of Invention
In view of the above, the present invention provides a mask, a mask system, and methods for manufacturing and photolithography, so as to simplify the process.
Based on the above purpose, an embodiment of the present invention provides a mask, including: the mask comprises a substrate and at least two mask layers which are arranged on the substrate in a laminated mode; each layer of mask layer comprises a light transmitting area and a shielding area, the shielding area of each layer of mask layer is set to shield exposure light of different wave bands so as to form different exposure patterns, and the light transmitting area of each layer of mask layer is set to be exposure light of each wave band penetrating through the mask plate.
Optionally, the exposure light of different wavebands is ultraviolet light of different wavebands.
Optionally, an anti-ultraviolet support layer or an air gap is arranged between the substrate and the mask layers and between each layer of mask layers.
Optionally, the supporting layer is a SiNx film or an ultraviolet-proof glue layer.
Optionally, the mask further includes: and the protective layer covers the substrate and each mask layer.
The shielding region of the mask is a photonic crystal region.
Based on the above object, an embodiment of the present invention further provides a mask system, including: a light source and any one of the above masks; the light source is used for emitting incident light to the mask plate.
Based on the above object, an embodiment of the present invention further provides a method for preparing a mask, including:
providing at least two mask layers, wherein each mask layer is set to transmit exposure light of a plurality of wave bands;
respectively forming a shielding area on each mask layer according to different exposure patterns; the shielding area of each mask layer shields the light of one waveband in the exposure light of the plurality of wavebands, and the wavelength range of the light shielded by the shielding area of each mask layer is different;
and sequentially fixing each mask layer with the shielding area formed on the substrate.
The mask version forms the sheltering from the district on every mask layer respectively according to different exposure pattern, includes:
and forming a photonic crystal area on each mask layer as a shielding area according to different exposure patterns by using a femtosecond laser interference mode or an ion beam injection mode.
Mask version every mask layer after will forming shelters from the district is fixed on the base plate in proper order, include:
and respectively fixing each mask layer with the shielding area formed on the substrate in sequence through the frame sealing glue.
Based on the above object, an embodiment of the present invention further provides a photolithography method based on any one of the above masks, where the photolithography method includes:
providing a board card to be exposed;
determining exposure light of a wave band corresponding to the board card to be exposed;
arranging the mask plate between the board card to be exposed and a light source;
the light source emits exposure light of a wave band corresponding to the board card to be exposed, and the exposure light of the wave band corresponding to the board card to be exposed is shielded by a shielding area of one layer of mask layer to form an exposure pattern corresponding to the board card to be exposed.
The mask provided by the embodiment of the invention comprises: the mask comprises a substrate and at least two mask layers which are arranged on the substrate in a laminated mode; each layer of mask layer comprises a light transmitting area and a shielding area, the shielding area of each layer of mask layer is set to shield exposure light of different wave bands to form different exposure patterns, and the light transmitting area of each layer of mask layer is set to be exposed light of each wave band penetrating through the mask plate; therefore, in the scheme, different exposure patterns can be formed under the condition that the mask is not turned over, so that the cost is saved, and the process is simplified.
Drawings
In order to more clearly illustrate the embodiments of the present invention or the technical solutions in the prior art, the drawings used in the description of the embodiments or the prior art will be briefly described below, it is obvious that the drawings in the following description are only some embodiments of the present invention, and for those skilled in the art, other drawings can be obtained according to the drawings without creative efforts.
Fig. 1 is a schematic view of a first structure of a mask according to an embodiment of the present invention;
fig. 2 is a schematic diagram of a pattern in a mask layer according to an embodiment of the present invention;
FIG. 3 is a schematic diagram of another mask layer pattern according to an embodiment of the present invention;
FIG. 4 is a schematic diagram of a second structure of a mask according to an embodiment of the present invention;
FIG. 5 is a schematic diagram of a third structure of a mask according to an embodiment of the present invention;
fig. 6 is a schematic flow chart of a method for manufacturing a mask according to an embodiment of the present invention;
FIG. 7 is a flowchart illustrating a photolithography method according to an embodiment of the present invention;
FIG. 8 is a schematic illustration of a photolithography process according to an embodiment of the present invention.
Detailed Description
In order to make the objects, technical solutions and advantages of the present invention more apparent, the present invention is described in further detail below with reference to specific embodiments and the accompanying drawings.
It is to be noted that technical terms or scientific terms used in the embodiments of the present invention should have the ordinary meanings as understood by those having ordinary skill in the art to which the present disclosure belongs, unless otherwise defined. The use of "first," "second," and similar terms in this disclosure is not intended to indicate any order, quantity, or importance, but rather is used to distinguish one element from another. The word "comprising" or "comprises", and the like, means that the element or item listed before the word covers the element or item listed after the word and its equivalents, but does not exclude other elements or items. The terms "connected" or "coupled" and the like are not restricted to physical or mechanical connections, but may include electrical connections, whether direct or indirect. "upper", "lower", "left", "right", and the like are used merely to indicate relative positional relationships, and when the absolute position of the object being described is changed, the relative positional relationships may also be changed accordingly.
In order to achieve the above object, embodiments of the present invention provide a mask, a mask system, and a method for manufacturing and photolithography, and the mask is first described in detail below.
The mask may include: the mask comprises a substrate and at least two mask layers which are arranged on the substrate in a laminated mode; each layer of mask layer comprises a light transmitting area and a shielding area, the shielding area of each layer of mask layer is set to shield exposure light of different wave bands so as to form different exposure patterns, and the light transmitting area of each layer of mask layer is set to be exposure light of each wave band penetrating through the mask plate.
The mask will be described below by taking two mask layers as an example. As shown in fig. 1, fig. 1 is a schematic view of a first structure of a mask according to an embodiment of the present invention, including: the mask layer includes a substrate 100, a first mask layer 200 disposed on the substrate 100, and a second mask layer 300 disposed on the first mask layer 200.
For example, the mask layer may be recessed relative to the substrate (as shown in fig. 1), or the mask layer may be aligned with the substrate. The mask layers may be aligned or not aligned, and are not particularly limited. The sizes of the substrate and the mask layer are not limited. The substrate and the mask layer can be attached, an air gap can exist, or other film layers can be arranged between the substrate and the mask layer. The mask layer and the mask layer can be attached, an air gap can exist, or other film layers can be arranged between the mask layer and the mask layer.
Each mask layer comprises a light-transmitting area and a shielding area, and exposure light irradiates the light-transmitting area and the shielding area in the mask layer to form exposure patterns. In one embodiment, the blocking region may be a photonic crystal region. The Photonic crystal refers to an artificial periodic dielectric structure having a Photonic Band Gap (PBG) characteristic, and has a wavelength selection function or a selective filtering characteristic. The photonic crystal can transmit light of a specific wave band or can shield the light of the specific wave band. For example, a femtosecond laser interference method may be used to form the photonic crystal region in the mask layer as the shielding region. As another example, the photonic crystal region in the mask layer may be formed as a blocking region by ion beam implantation. The specific manner of forming the photonic crystal is not limited.
Still taking two mask layers as an example, assume that the first mask layer 200 includes a light-transmitting region 210 and a blocking region 220, as shown in fig. 2; it is assumed that the second mask layer 300 includes a light-transmitting region 310 and a blocking region 320, as shown in fig. 3. Fig. 2 and 3 are merely examples, and do not limit the pattern of the mask layer.
In the embodiment of the invention, the shielding area of each mask layer is set to shield the exposure light of different wave bands to form different exposure patterns: it is assumed that the shielding region 220 shields the exposure light of the first wavelength band and transmits the exposure light of the second wavelength band, and the shielding region 320 shields the exposure light of the second wavelength band and transmits the exposure light of the first wavelength band. In addition, in the embodiment of the present invention, the light-transmitting area of each mask layer is set to transmit the exposure light of each band of the mask: both the transmissive region 210 and the transmissive region 310 are transparent to the first wavelength band of exposure light and the second wavelength band of exposure light.
When the mask is irradiated by exposure light of the first waveband, the light-transmitting area and the shielding area in the first layer mask layer 200 have different transmission conditions to the light of the first waveband: the transparent region 210 transmits the exposure light of the first wavelength band, and the shielding region 220 shields the exposure light of the first wavelength band; the transparent area and the shielding area in the second mask layer 300 can transmit the light of the first wave band, and the second mask layer 300 can be used as a transparent layer; thus, an exposure pattern may be formed through the first mask layer 200.
When the mask plate is irradiated by exposure light of the second waveband, the light-transmitting area and the shielding area in the second layer mask layer 300 have different transmission conditions to the light of the second waveband: the transparent region 310 transmits the exposure light of the second wavelength band, and the shielding region 320 shields the exposure light of the second wavelength band; the transparent area and the shielding area in the first mask layer 300 can transmit light of a second wavelength band, and the first mask layer 200 can be used as a transparent layer; thus, another exposure pattern may be formed through the second mask layer 300.
Therefore, in the scheme, a plurality of exposure patterns can be formed through one mask, so that the cost is saved; in addition, according to the scheme, different exposure patterns can be formed under the condition that the mask is not turned over, and the process is simplified.
In one embodiment, the exposure light of different wavelength bands may be ultraviolet light of different wavelength bands. The ultraviolet ray energy is higher, and is influenced by environmental factor less, adopts the ultraviolet ray as the exposure light, and the exposure effect is better.
In one embodiment, a support layer for preventing ultraviolet rays or an air gap is provided between the substrate and the mask layer and between each mask layer.
Referring to fig. 4, the space 500 between the substrate 100 and the first mask layer 200 may be a support layer or an air gap. If the exposure light is ultraviolet, the support layer can be an ultraviolet-proof support layer, so that the service life of the mask can be prolonged. The space 400 between the first mask layer 200 and the second mask layer 300 may be a support layer or an air gap. If the exposure light is ultraviolet, the support layer can be an ultraviolet-proof support layer, so that the service life of the mask can be prolonged.
For example, the support layer may be a SiNx film, or may also be an ultraviolet-proof glue layer. The reference numeral 600 in fig. 4 may be the frame sealing adhesive, or may also be other fixing elements, which is not limited specifically.
In one embodiment, the reticle may further include a protective layer covering the substrate and each mask layer.
As shown in fig. 5, the protective layer 700 covers the substrate 100, the first mask layer 200, and the second mask layer 300. A support layer for preventing ultraviolet rays is disposed between the substrate 100 and the first mask layer 200, and between the first mask layer 200 and the second mask layer 300. The substrate 100 and the first mask layer 200, and the first mask layer 200 and the second mask layer 300 are fixed by the sealant 600.
For example, the substrate may be quartz glass, and the specific material of the substrate is not limited. The mask layer may be a chromium film or other metal film layer, and the specific material of the mask layer is not limited.
In one embodiment, the substrate of the mask may be stacked with two or more mask layers, such as three, four, five, etc., and the specific number is not limited. If the mask plate comprises three mask layers, the shielding areas of the three mask layers are set to shield exposure light of different wave bands to form different exposure patterns, and the light transmission areas of the three mask layers are set to transmit the exposure light of each wave band of the mask plate; therefore, three exposure patterns can be formed by using the mask. Similarly, if four mask layers are included in the reticle, four exposure patterns can be formed using the reticle. Other things are similar and are not listed.
For example, in the process of manufacturing a Display substrate of an LCD (Liquid Crystal Display) or an OLED (Organic Light-Emitting Diode), a plurality of masks are usually required to form a plurality of different exposure patterns. The used mask plates are large in number, so that the mask plates are frequently replaced during production, the production efficiency is low, the mask plates are large in number, and the production cost and the storage cost of the mask plates are high.
By applying the embodiment of the invention, a plurality of different exposure patterns can be formed by one mask, and the mask does not need to be frequently replaced in the process of manufacturing the display substrate by using the mask provided by the embodiment, so that the production efficiency is improved, and the production cost and the storage cost of the mask are reduced.
The embodiment of the present invention further provides a mask system, including: a light source and any one of the above masks; the light source is used for emitting light to the mask plate.
The embodiment of the present invention further provides a mask preparation method, and fig. 6 is a schematic flow chart of the mask preparation method provided in the embodiment of the present invention, including:
s601: at least two mask layers are provided, each mask layer configured to transmit a plurality of bands of exposure light.
For example, the mask includes two mask layers, and it is assumed that both of the two mask layers can transmit the exposure light of the first wavelength band and the exposure light of the second wavelength band. In one case, the exposure light of the first wavelength band and the exposure light of the second wavelength band may be ultraviolet rays of different wavelength bands. The ultraviolet ray energy is higher, and is influenced by environmental factor less, adopts the ultraviolet ray as the exposure light, and the exposure effect is better.
If the mask plate comprises N mask layers, and N is a positive integer greater than 2, the N mask layers can transmit exposure light of N wave bands.
S602: respectively forming a shielding area on each mask layer according to different exposure patterns; the shielding area of each mask layer shields the light of one waveband of the exposure light of the plurality of wavebands, and the wavelength of the light shielded by the shielding area of each mask layer is different.
Continuing with the above example, assume that the first mask layer and the second mask layer are provided in S601. A first shielding region may be formed on the first mask layer according to the first exposure pattern in S602, where the first shielding region transmits the light of the second wavelength band to shield the light of the first wavelength band; and forming a second shielding area on the second mask layer according to the second exposure pattern, wherein the second shielding area transmits the light of the first waveband and shields the light of the second waveband.
In one embodiment, S602 may include: and respectively forming a photonic crystal area on each mask layer as a shielding area according to different exposure patterns by using a femtosecond laser interference mode, an ion beam injection mode or other photonic band gap processing modes.
Photonic crystals refer to artificial periodic dielectric structures with photonic band gap characteristics, which have a wavelength selective function or selective filtering characteristics. The photonic crystal can transmit light of a specific wave band or can shield the light of the specific wave band. For example, the photonic crystal region in the mask layer may be formed as the blocking region by a femtosecond laser interference method or an ion beam implantation method. The specific manner of forming the photonic crystal is not limited.
In this embodiment, a region formed in the mask layer after being processed by a femtosecond laser interference method, an ion beam implantation method, or another photonic band gap processing method is a blocking region, and a region which is not processed by these methods is a light-transmitting region. The light-transmitting area can still transmit the exposure light of a plurality of wave bands, and if the mask plate comprises N mask layers, the light-transmitting area of the N mask layers can transmit the exposure light of N wave bands.
S603: and sequentially fixing each mask layer with the shielding area on the substrate.
For example, each mask layer with the shielding region formed thereon can be sequentially fixed on the substrate by the frame sealing adhesive.
In one case, a support layer or an air gap may be provided between the substrate and the mask layer, and between each of the mask layers. The supporting layer may be a SiNx film or an ultraviolet-proof glue layer, and is not particularly limited. Alternatively, the substrate and the mask layer, and the mask layers may be bonded to each other.
In one embodiment, after S603, a protective layer may be further covered on the surfaces of the substrate and each mask layer for protection, as shown in fig. 5.
Still taking two mask layers as an example, referring to fig. 1, fig. 4 and fig. 5, it is assumed that the first mask layer 200 includes a light-transmitting region 210 and a shielding region 220, as shown in fig. 2; it is assumed that the second mask layer 300 includes a light-transmitting region 310 and a blocking region 320, as shown in fig. 3. In S602, the blocking area formed by each mask layer blocks one of the bands of exposure light: it is assumed that the shielding region 220 shields the exposure light of the first wavelength band and transmits the exposure light of the second wavelength band, and the shielding region 320 shields the exposure light of the second wavelength band and transmits the exposure light of the first wavelength band. In addition, the light-transmitting area of each mask layer can transmit exposure light of each wave band of the mask plate: both the transmissive region 210 and the transmissive region 310 are transparent to the first wavelength band of exposure light and the second wavelength band of exposure light.
When the mask is irradiated by exposure light of the first waveband, the light-transmitting area and the shielding area in the first layer mask layer 200 have different transmission conditions to the light of the first waveband: the transparent region 210 transmits the exposure light of the first wavelength band, and the shielding region 220 shields the exposure light of the first wavelength band; the transparent area and the shielding area in the second mask layer 300 can transmit the light of the first wave band, and the second mask layer 300 can be used as a transparent layer; thus, an exposure pattern may be formed through the first mask layer 200.
When the mask is irradiated by the exposure light of the second waveband, the light-transmitting area and the shielding area in the second layer mask layer 300 have different transmittance conditions to the light of the second waveband: the transparent region 310 transmits the exposure light of the second wavelength band, and the shielding region 320 shields the exposure light of the second wavelength band; the transparent area and the shielding area in the first mask layer 300 can transmit light of a second wavelength band, and the first mask layer 200 can be used as a transparent layer; thus, another exposure pattern may be formed through the second mask layer 300.
Therefore, in the scheme, a plurality of exposure patterns can be formed through one mask, so that the cost is saved; in addition, according to the scheme, different exposure patterns can be formed under the condition that the mask is not turned over, and the process is simplified.
In one embodiment, the substrate of the mask may be stacked with two or more mask layers, such as three, four, five, etc., and the process for preparing the mask is similar and will not be described again.
An embodiment of the present invention further provides a photolithography method, and fig. 7 is a schematic flow chart of the photolithography method provided in the embodiment of the present invention, including:
s701: providing a board card to be exposed.
For example, the board card to be exposed may be various board cards such as an IC, an FPD, a PCB, and a MEMS, or may also be a display substrate of an apparatus such as an LCD or an OLED, which is not limited specifically.
S702: and determining the exposure light of the board card to be exposed in the corresponding wave band.
The mask provided by the embodiment of the invention is used for photoetching, and different exposure patterns can be formed by irradiating the mask with exposure light of different wave bands. In the process of photoetching the board card to be exposed, the exposure pattern corresponding to the board card can be determined, and the exposure light of the wave band corresponding to the exposure pattern can be determined.
S703: and arranging the mask plate between the board card to be exposed and the light source.
Referring to fig. 8, the mask may be any one of the masks described above; the light source is a light source that emits exposure light. In a case where the same light source may emit exposure light of various wavelength bands, the order of execution of S702 and S703 is not limited. In another case, a light source emits exposure light of one wavelength band, and in this case, after S702, a light source suitable for the board to be exposed is selected, and then the mask is set between the board to be exposed and the selected light source.
A photoresist, such as a PR photoresist or other photoresist, may be laid between the mask and the board to be exposed, and the photoresist may be a positive photoresist or a negative photoresist, which is not limited specifically.
S704: the light source emits exposure light of a wave band corresponding to the board card to be exposed, and the exposure light of the wave band corresponding to the board card to be exposed is shielded by the shielding area of the mask layer to form an exposure pattern corresponding to the board card to be exposed.
The mask provided by the embodiment of the invention is used for photoetching, and different exposure patterns can be formed by irradiating the mask with exposure light of different wave bands; in other words, different exposure patterns can be formed under the condition that the mask is not turned over, so that the cost is saved, and the process is simplified.
Those of ordinary skill in the art will understand that: the discussion of any embodiment above is meant to be exemplary only, and is not intended to intimate that the scope of the disclosure, including the claims, is limited to these examples; within the idea of the invention, also features in the above embodiments or in different embodiments may be combined, steps may be implemented in any order, and there are many other variations of the different aspects of the invention as described above, which are not provided in detail for the sake of brevity.
In addition, well known power/ground connections to Integrated Circuit (IC) chips and other components may or may not be shown within the provided figures for simplicity of illustration and discussion, and so as not to obscure the invention. Furthermore, devices may be shown in block diagram form in order to avoid obscuring the invention, and also in view of the fact that specifics with respect to implementation of such block diagram devices are highly dependent upon the platform within which the present invention is to be implemented (i.e., specifics should be well within purview of one skilled in the art). Where specific details (e.g., circuits) are set forth in order to describe example embodiments of the invention, it should be apparent to one skilled in the art that the invention can be practiced without, or with variation of, these specific details. Accordingly, the description is to be regarded as illustrative instead of restrictive.
While the present invention has been described in conjunction with specific embodiments thereof, many alternatives, modifications, and variations of these embodiments will be apparent to those of ordinary skill in the art in light of the foregoing description. For example, other memory architectures (e.g., dynamic ram (dram)) may use the discussed embodiments.
The embodiments of the invention are intended to embrace all such alternatives, modifications and variances that fall within the broad scope of the appended claims. Therefore, any omissions, modifications, substitutions, improvements and the like that may be made without departing from the spirit and principles of the invention are intended to be included within the scope of the invention.

Claims (11)

1. A reticle, comprising: the mask comprises a substrate and at least two mask layers which are arranged on the substrate in a laminated mode; every layer of mask layer includes the light transmission district and shelters from the district, every layer of mask layer shelter from the district and set up to shelter from the exposure light of one of them wave band in the exposure light of a plurality of wave bands in order to form different exposure patterns, every layer of mask layer shelter from the wave band of the exposure light that the district sheltered from different, and the light transmission district of every layer of mask layer sets up to see through this mask version the exposure light of a plurality of wave bands.
2. The reticle of claim 1, wherein the plurality of bands of exposure light are a plurality of bands of ultraviolet light.
3. The mask according to claim 2, wherein a support layer for preventing ultraviolet rays or an air gap is provided between the substrate and the mask layer and between each mask layer.
4. The mask as claimed in claim 3, wherein the supporting layer is a SiNx film or an ultraviolet-proof glue layer.
5. The reticle of claim 1, further comprising:
and the protective layer covers the substrate and each mask layer.
6. The reticle of claim 1, wherein the keep-out region is a photonic crystal region.
7. A reticle system, comprising: a light source and the reticle of any one of claims 1-6; the light source is used for emitting incident light to the mask plate.
8. A mask preparation method is characterized by comprising the following steps:
providing at least two mask layers, wherein each mask layer is set to transmit exposure light of a plurality of wave bands;
respectively forming a shielding area on each mask layer according to different exposure patterns; the shielding area of each mask layer shields the light of one waveband in the exposure light of the plurality of wavebands, and the wavelength range of the light shielded by the shielding area of each mask layer is different;
and sequentially laminating and fixing each mask layer with the shielding area on the substrate.
9. The method of claim 8, wherein forming the masked areas on each mask layer according to different exposure patterns comprises:
and forming a photonic crystal area on each mask layer as a shielding area according to different exposure patterns by using a femtosecond laser interference mode or an ion beam injection mode.
10. The method of claim 8, wherein the sequentially stacking and fixing each mask layer after the formation of the blocking region on the substrate comprises:
and sequentially laminating and fixing each mask layer with the shielding area formed on the substrate through the frame sealing glue.
11. A photolithography method based on the reticle defined in any one of claims 1 to 6, the photolithography method comprising:
providing a board card to be exposed;
determining exposure light of a wave band corresponding to the board card to be exposed;
arranging the mask plate between the board card to be exposed and a light source;
and the light source emits the exposure light of the wave band corresponding to the board card to be exposed, and the exposure light of the wave band corresponding to the board card to be exposed is shielded by the shielding area of the mask layer to form an exposure pattern corresponding to the board card to be exposed.
CN201911328519.1A 2019-12-20 2019-12-20 Mask, mask system and preparation and photoetching methods Active CN110989288B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911328519.1A CN110989288B (en) 2019-12-20 2019-12-20 Mask, mask system and preparation and photoetching methods

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911328519.1A CN110989288B (en) 2019-12-20 2019-12-20 Mask, mask system and preparation and photoetching methods

Publications (2)

Publication Number Publication Date
CN110989288A CN110989288A (en) 2020-04-10
CN110989288B true CN110989288B (en) 2022-05-10

Family

ID=70074384

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911328519.1A Active CN110989288B (en) 2019-12-20 2019-12-20 Mask, mask system and preparation and photoetching methods

Country Status (1)

Country Link
CN (1) CN110989288B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111965933A (en) * 2020-08-12 2020-11-20 Tcl华星光电技术有限公司 Mask plate, preparation method of mask plate and preparation method of display panel

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004165580A (en) * 2002-11-11 2004-06-10 Pd Service:Kk Transfer mask and electron beam exposure device
JP2006317737A (en) * 2005-05-13 2006-11-24 Dainippon Printing Co Ltd Mask for exposure
CN105629655B (en) * 2016-01-11 2018-08-07 京东方科技集团股份有限公司 Mask plate
CN105974653B (en) * 2016-07-21 2019-05-07 京东方科技集团股份有限公司 Color membrane substrates and its manufacturing method, mask plate and its manufacturing method, display device
TW201831986A (en) * 2017-02-17 2018-09-01 力晶科技股份有限公司 30078 新竹科學工業園區力行一路12號 Photomask and method of manufacturing the same and exposure method
CN108051982B (en) * 2018-01-03 2020-08-11 京东方科技集团股份有限公司 Mask and preparation method and photoetching method thereof
CN108388076B (en) * 2018-03-06 2021-10-01 京东方科技集团股份有限公司 Mask plate, mask method and manufacturing method thereof and mask system
CN110262183B (en) * 2019-06-21 2023-03-21 京东方科技集团股份有限公司 Mask plate, exposure method and device, display substrate, manufacturing method and device

Also Published As

Publication number Publication date
CN110989288A (en) 2020-04-10

Similar Documents

Publication Publication Date Title
US11296267B2 (en) Display device
JP6508656B2 (en) Light control element and smart glass
EP4020141A1 (en) Flexible display device and manufacturing method therefor
CN112349759A (en) Display panel and display device
CN110727135B (en) Color film substrate, display panel and display device
CN110989288B (en) Mask, mask system and preparation and photoetching methods
CN113066837B (en) Display panel and display device
KR20180019111A (en) Touch sensor with circular polarization plate and image display device
CN112331712A (en) Display panel
JP2003303682A (en) Electroluminescent display device
KR20200102572A (en) Display device
CN103676227A (en) Display device and cover member
KR20180037105A (en) Display device, display module, and manufacturing method of display device
US8802356B2 (en) Photosensitive film pattern and method for manufacturing a photosensitive film pattern
CN104424861A (en) Display device
US11264364B2 (en) Display device having multiple sub-pixels
US10923832B2 (en) Co-construction antenna module
CN106200100B (en) display panel, manufacturing method thereof and display device
TW201608428A (en) Touch panel
CN105359040A (en) Substrate processing apparatus, device manufacturing method, and cylindrical mask
CN111435185A (en) Polaroid, display screen, terminal and manufacturing method of polaroid
CN108227302A (en) Display screen
CN110556398B (en) Electronic device and preparation method thereof
CN113809115A (en) Array substrate, preparation method thereof and display screen
US11294273B2 (en) Mask substrate and method for forming mask substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant