CN110963952B - Onium salt, resist composition and pattern forming method - Google Patents

Onium salt, resist composition and pattern forming method Download PDF

Info

Publication number
CN110963952B
CN110963952B CN201910920497.1A CN201910920497A CN110963952B CN 110963952 B CN110963952 B CN 110963952B CN 201910920497 A CN201910920497 A CN 201910920497A CN 110963952 B CN110963952 B CN 110963952B
Authority
CN
China
Prior art keywords
group
formula
branched
resist composition
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910920497.1A
Other languages
Chinese (zh)
Other versions
CN110963952A (en
Inventor
大桥正树
本田和也
须贺祐辉
计良祐纪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of CN110963952A publication Critical patent/CN110963952A/en
Application granted granted Critical
Publication of CN110963952B publication Critical patent/CN110963952B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/62Quaternary ammonium compounds
    • C07C211/63Quaternary ammonium compounds having quaternised nitrogen atoms bound to acyclic carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/62Quaternary ammonium compounds
    • C07C211/64Quaternary ammonium compounds having quaternised nitrogen atoms bound to carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C215/00Compounds containing amino and hydroxy groups bound to the same carbon skeleton
    • C07C215/02Compounds containing amino and hydroxy groups bound to the same carbon skeleton having hydroxy groups and amino groups bound to acyclic carbon atoms of the same carbon skeleton
    • C07C215/40Compounds containing amino and hydroxy groups bound to the same carbon skeleton having hydroxy groups and amino groups bound to acyclic carbon atoms of the same carbon skeleton with quaternised nitrogen atoms bound to carbon atoms of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C25/00Compounds containing at least one halogen atom bound to a six-membered aromatic ring
    • C07C25/18Polycyclic aromatic halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/02Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms
    • C07C317/04Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/02Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms
    • C07C317/06Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms of a saturated carbon skeleton containing rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/02Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms
    • C07C317/08Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms of an acyclic unsaturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/12Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to carbon atoms of rings other than six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/14Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to carbon atoms of six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/16Sulfones; Sulfoxides having sulfone or sulfoxide groups and singly-bound oxygen atoms bound to the same carbon skeleton
    • C07C317/18Sulfones; Sulfoxides having sulfone or sulfoxide groups and singly-bound oxygen atoms bound to the same carbon skeleton with sulfone or sulfoxide groups bound to acyclic carbon atoms of the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/24Sulfones; Sulfoxides having sulfone or sulfoxide groups and doubly-bound oxygen atoms bound to the same carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D277/00Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings
    • C07D277/02Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings not condensed with other rings
    • C07D277/08Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member
    • C07D277/10Heterocyclic compounds containing 1,3-thiazole or hydrogenated 1,3-thiazole rings not condensed with other rings having one double bond between ring members or between a ring member and a non-ring member with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to ring carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D279/00Heterocyclic compounds containing six-membered rings having one nitrogen atom and one sulfur atom as the only ring hetero atoms
    • C07D279/101,4-Thiazines; Hydrogenated 1,4-thiazines
    • C07D279/141,4-Thiazines; Hydrogenated 1,4-thiazines condensed with carbocyclic rings or ring systems
    • C07D279/18[b, e]-condensed with two six-membered rings
    • C07D279/20[b, e]-condensed with two six-membered rings with hydrogen atoms directly attached to the ring nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • C07D327/08[b,e]-condensed with two six-membered carbocyclic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/04Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom
    • C07D333/06Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to the ring carbon atoms
    • C07D333/08Hydrogen atoms or radicals containing only hydrogen and carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/46Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings substituted on the ring sulfur atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/76Dibenzothiophenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/02Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/04Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D335/10Dibenzothiopyrans; Hydrogenated dibenzothiopyrans
    • C07D335/12Thioxanthenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D335/00Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom
    • C07D335/04Heterocyclic compounds containing six-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D335/10Dibenzothiopyrans; Hydrogenated dibenzothiopyrans
    • C07D335/12Thioxanthenes
    • C07D335/14Thioxanthenes with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached in position 9
    • C07D335/16Oxygen atoms, e.g. thioxanthones
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D339/00Heterocyclic compounds containing rings having two sulfur atoms as the only ring hetero atoms
    • C07D339/08Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D487/00Heterocyclic compounds containing nitrogen atoms as the only ring hetero atoms in the condensed system, not provided for by groups C07D451/00 - C07D477/00
    • C07D487/12Heterocyclic compounds containing nitrogen atoms as the only ring hetero atoms in the condensed system, not provided for by groups C07D451/00 - C07D477/00 in which the condensed system contains three hetero rings
    • C07D487/18Bridged systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • G03F7/066Organic derivatives of bivalent sulfur, e.g. onium derivatives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/06Systems containing only non-condensed rings with a five-membered ring
    • C07C2601/08Systems containing only non-condensed rings with a five-membered ring the ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/02Systems containing two condensed rings the rings having only two atoms in common
    • C07C2602/14All rings being cycloaliphatic
    • C07C2602/20All rings being cycloaliphatic the ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes

Abstract

The invention relates to an onium salt, a resist composition and a pattern forming method. Provided are novel onium salts having formula (1) and resist compositions comprising the onium salts as quenchers. When the resist composition is processed by photolithography using high-energy radiation, a resist pattern is formed, which is improved in LWR and CDU. In the formula (1), R 1 、R 2 And R 3 Each being C containing a hetero atom other than fluorine 1 ‑C 20 Monovalent hydrocarbon group, Z + Is a sulfonium, iodonium or ammonium cation.

Description

Onium salt, resist composition and pattern forming method
Cross Reference to Related Applications
This non-provisional application claims priority from patent application No.2018-183103 filed in japan on 9/28/2018, according to 35u.s.c. § 119 (a), the entire contents of which are incorporated herein by reference.
Technical Field
The present invention relates to an onium salt, a resist composition comprising the same, and a pattern forming method using the resist composition.
Background
In order to meet the demand for higher integration density and operating speed of LSIs, efforts to reduce pattern rules are rapidly underway. The wide flash market and the demand for increased storage capacity have driven the development of miniaturization technology. As an advanced miniaturization technology, fabrication of 65nm node microelectronic devices by ArF lithography has been implemented on a large scale. The fabrication of 45nm node devices by next generation ArF immersion lithography is approaching the edge of high volume applications. Candidates for the next generation of 32nm nodes include ultra-high NA lens immersion lithography using liquids with refractive indices higher than water, a double-patterned version combining high-index lenses and high-index resist films, 13.5nm wavelength Extreme Ultraviolet (EUV) lithography, and ArF lithography, on which active research is conducted.
With the rapid development of miniaturization, it is difficult to form a pattern of a desired size from a resist material of the related art. In particular, the effect of acid diffusion is detrimental to lithographic performance. For example, as the pattern size approaches the diffusion length of the acid, the contrast reduction becomes more severe. As the Mask Error Factor (MEF), which represents the size shift on the wafer relative to the size shift on the mask, increases, the mask fidelity decreases significantly. In addition, fluctuations in the line width of the pattern, referred to as Line Width Roughness (LWR), and Critical Dimension Uniformity (CDU) of the pattern are greatly affected by acid diffusion. Degradation of such parameters becomes a problem.
In order to solve these outstanding problems, not only the base resin and the photoacid generator but also the diffusion controller were studied. High levels of acid diffusion control are effective for improving LWR and CDU. Amines are commonly used as diffusion control agents. Many problems related to Line Width Roughness (LWR) as an index of pattern roughness have not been solved. The use of weak acidic onium salts as diffusion control agents has also been investigated. For example, patent document 1 describes a positive photosensitive composition for ArF excimer laser lithography, which contains an onium carboxylate salt. The composition is based on the following mechanism: salt exchange occurs between a weak acid onium salt and a strong acid (sulfonic acid) generated by another PAG upon exposure, forming weak acid and strong acid onium salts. That is, a strong acid having high acidity (α, α -difluorosulfonic acid) is substituted with a weak acid (alkanesulfonic acid or carboxylic acid), thereby suppressing the acid-assisted decomposition reaction of acid labile groups and reducing or controlling the distance of acid diffusion. The onium salt apparently acts as a quencher (i.e., a diffusion control agent). Such onium salt type quenchers are effective in improving LWR and CDU to some extent, but are still unsatisfactory in the formation of narrower pitch patterns required in advanced miniaturization technologies.
CITATION LIST
Patent document 1: JP4226803 (USP 6492091)
Disclosure of Invention
An object of the present invention is to provide an onium salt and a resist composition comprising the same, which are processed by high energy radiation lithography to form an LWR and CDU improved resist pattern, and a pattern forming method using the resist composition.
The present inventors have found that a resist composition comprising an onium salt of a specific structure as a quencher can be processed by photolithography to form a resist pattern improved in LWR and CDU, and is suitable for high-precision micropatterning.
In one aspect, the present invention provides onium salts having formula (1).
Figure BDA0002217415130000021
Wherein R is 1 、R 2 And R 3 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon radical which may contain heteroatoms other than fluorine, R 2 And R 3 May be bonded together to form a ring with the sulfur atom to which they are attached and the carbon atom in the formula, Z + Is a sulfonium, iodonium or ammonium cation.
In another aspect, the present invention provides a resist composition comprising: an onium salt as defined above, (B) an organic solvent, (C) a polymer comprising a repeating unit containing an acid labile group, and (D) a photoacid generator.
In a preferred embodiment, the repeating unit containing an acid labile group is of formula (a 1) or (a 2).
Figure BDA0002217415130000031
Wherein R is A Each independently of the other being hydrogen, fluorine, methyl or trifluoromethyl, Z A Is a single bond, phenylene, naphthylene or (main chain) -C (= O) -O-Z A1 -,Z A1 Is C 1 -C 10 Straight, branched or cyclic alkane-bisA group which may contain a hydroxyl moiety, an ether bond, an ester bond or a lactone ring, or is phenylene or naphthylene, Z B Is a single bond or (main chain) -C (= O) -O-, X A And X B Each independently an acid labile group, R B Is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group which may contain heteroatoms, and n is an integer from 0 to 4.
In a preferred embodiment, the polymer further comprises a repeat unit having formula (b 1) or (b 2).
Figure BDA0002217415130000032
Wherein R is A As defined above, Y A Is hydrogen or contains at least one polar group selected from the following structures: hydroxyl group, cyano group, carbonyl group, carboxyl group, ether bond, ester bond, sulfonate ester bond, carbonate ester bond, lactone ring, sultone ring and carboxylic anhydride, and m is 1 or 2.
In a preferred embodiment, the photoacid generator (D) has formula (2) or (3).
Figure BDA0002217415130000041
Wherein R is 101 、R 102 And R 103 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon radical which may contain heteroatoms, R 101 、R 102 And R 103 Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, X - Is an anion selected from the following formulas (2A) to (2D):
R fa -CF 2 -SO 3 - (2A)
Figure BDA0002217415130000042
Figure BDA0002217415130000043
Figure BDA0002217415130000044
wherein R is fa 、R fb1 、R fb2 、R fc1 、R fc2 And R fc3 Each independently being fluorine or C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon radical which may contain heteroatoms, or a pair of R fb1 And R fb2 Or R is fc1 And R fc2 May be bonded together to form a ring with the carbon atom to which they are attached and optionally intervening atoms, R fd Is C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms.
Figure BDA0002217415130000045
Wherein R is 201 And R 202 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon radical which may contain heteroatoms, R 203 Is C 1 -C 20 A linear, branched or cyclic divalent hydrocarbon radical which may contain heteroatoms, R 201 、R 202 And R 203 Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, L 3 Is a divalent linking group, L 4 Is a single bond or C 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group, which may contain heteroatoms.
The resist composition may further comprise: (E) A surfactant insoluble or substantially insoluble in water but soluble in an alkaline developer, and/or a surfactant insoluble or substantially insoluble in water and an alkaline developer, and/or (F) a nitrogen-containing compound.
In another aspect, the present invention provides a pattern forming method, comprising the steps of: the resist composition defined above is applied onto a substrate to form a resist film, the resist film is exposed to KrF excimer laser light, arF excimer laser light, EB or EUV, and the exposed resist film is developed in a developer.
Advantageous effects of the invention
Since the onium salt realizes a satisfactory function of an acid diffusion controller or a quencher in the resist composition, the resist composition comprising the same of the present invention is capable of forming a pattern of good shape with high resolution and improved LWR, CDU and focus margin (focus margin).
Drawings
FIG. 1 shows a schematic view of Compound Q-A obtained in example 1-1 1 H-NMR spectrum.
FIG. 2 is a drawing showing a reaction scheme of a compound Q-B obtained in example 1-2 1 H-NMR spectrum.
FIG. 3 is a drawing showing the preparation of compounds Q-C obtained in examples 1 to 3 1 H-NMR spectrum.
FIG. 4 shows examples of compounds Q-D obtained in examples 1 to 4 1 H-NMR spectrum.
FIG. 5 shows the results of examples 1 to 5 for compounds Q to E 1 H-NMR spectrum.
Detailed Description
As used herein, the singular forms "a," "an," and "the" include plural referents unless the context clearly dictates otherwise. The symbol (Cn-Cm) refers to groups containing n-m carbon atoms per group.
Abbreviations and acronyms have the following meanings.
EB: electron beam
EUV: extreme ultraviolet
Mw: weight average molecular weight
Mn: number average molecular weight
Mw/Mn: molecular weight distribution or dispersity
GPC: gel permeation chromatography
And (3) PEB: post exposure bake
PAG: photoacid generators
LWR: line width roughness
CDU: uniformity of critical dimension
MEF: mask error factor
DOF: depth of focus
In the structural formula, the dotted line represents a bond; me represents methyl, ph represents phenyl and Ac represents acetyl.
Onium salts
One embodiment of the present invention is an onium salt having formula (1).
Figure BDA0002217415130000061
In the formula (1), R 1 、R 2 And R 3 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms other than fluorine. R 2 And R 3 May be bonded together to form a ring with the sulfur atom and carbon atom in the formula to which they are attached.
Suitable monovalent hydrocarbon radicals include alkyl radicals such as methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.0 2,6 ]Decyl, adamantyl, adamantylmethyl, and aryl groups such as phenyl, naphthyl, and anthracenyl. In these groups, some hydrogen may be substituted with a moiety containing a heteroatom such as oxygen, sulfur, nitrogen, or a halogen other than fluorine, or a moiety containing a heteroatom such as oxygen, sulfur, or nitrogen may be interposed between carbon atoms, so that the group may contain a hydroxyl moiety, a cyano moiety, a carbonyl moiety, an ether bond, a thioether bond, an ester bond, a sulfonate (sulfonic acid ester) bond, a carbonate bond, a carbamate bond, a lactone ring, a sultone ring, or a carboxylic anhydride (-C (= O) -O-C (= O) -).
Wherein R is 1 、R 2 And R 3 Each is preferably C 1 -C 20 Alkyl or C 6 -C 20 Aryl, which may contain heteroatoms other than fluorine.
Illustrative structures of the anion moiety in the onium salt having formula (1) are shown below, but not limited thereto.
Figure BDA0002217415130000081
Figure BDA0002217415130000091
In formula (1), Z + Is a sulfonium, iodonium or ammonium cation. Preferred sulfonium, iodonium and ammonium cations have the following formulae (1-1), (1-2) and (1-3), respectively.
Figure BDA0002217415130000101
Wherein R is 11 -R 19 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. In the formula (1-1), R 11 -R 13 Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. In the formula (1-3), R 16 -R 19 Any two or more of which may be bonded together to form a ring with the nitrogen atom to which they are attached.
Suitable monovalent hydrocarbon radicals include alkyl radicals such as methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.0 2,6 ]Decyl, adamantyl, adamantylmethyl, and aryl groups such as phenyl, naphthyl, and anthracenyl. In these groups, some of the hydrogens may be substituted with a heteroatom-containing moiety such as oxygen, sulfur, nitrogen, or halogen, or a heteroatom-containing moiety such as oxygen, sulfur, or nitrogen may be interposed between carbon atoms, such that the group may contain a hydroxyl moiety, a cyano moiety, a carbonyl moiety, an ether linkageA thioether bond, an ester bond, a sulfonate bond, a carbonate bond, a carbamate bond, a lactone ring, a sultone ring, or a carboxylic anhydride or haloalkyl moiety.
<xnotran> ,4- , (4- ) , (4- ) ,4- , (4- ) , (4- ) , (4- ) ,3- , (3- ) , (3- ) ,3,4- , (3,4- ) , (3,4- ) , (4- ) ,4- , (4- ) , (4- ) (4- ) , (4- ) ,2- , (4- -3,5- ) , (4- -3,5- ) , (2- ) ,4- ,4- , ,2- , , , </xnotran> Diphenylmethylthioninium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiocyclopentium, diphenyl-2-thienylsulfonium, 4-n-butoxynaphthyl-1-thiocyclopentium, 2-n-butoxynaphthyl-1-thiocyclopentium, 4-methoxynaphthyl-1-thiocyclopentium and 2-methoxynaphthyl-1-thiocyclopentium. Among them, triphenylsulfonium, 4-tert-butylphenyl diphenylsulfonium, 4-tert-butoxyphenyl diphenylsulfonium, tris (4-tert-butylphenyl) sulfonium, tris (4-tert-butoxyphenyl) sulfonium, and dimethylphenylsulfonium are more preferable.
Further examples of sulfonium cations include those of the formula.
Figure BDA0002217415130000111
Examples of the iodonium cation include diphenyliodonium, bis (4-methylphenyl) iodonium, bis (4-ethylphenyl) iodonium, bis (4-tert-butylphenyl) iodonium, bis (4- (1, 1-dimethylpropyl) phenyl) iodonium, bis (4-methoxyphenyl) iodonium, 4-methoxyphenyl phenyliodonium, 4-tert-butoxyphenylphenyliodonium, 4-acryloyloxyphenylphenyliodonium, 4-methacryloyloxyphenylphenyliodonium, and 4-fluorophenyliodonium.
Examples of ammonium cations are shown below.
Figure BDA0002217415130000121
Exemplary structures of onium salts having formula (1) include any combination of anions and cations, both as exemplified above. Preferred are combinations of anions and sulfonium cations, both as exemplified above.
The onium salts of the present invention can be synthesized by a combination of known organic chemistry methods, for example, according to the scheme shown below.
Figure BDA0002217415130000122
Wherein R is 1 、R 2 、R 3 And Z + As defined above. R is 5 Is C 1 -C 20 Straight-chain, branched-chain or cyclic monovalent hydrocarbon radicals which may contain heteroatoms, examples of which are given above for R 1 、R 2 And R 3 As exemplified. A. The - Is an anion, for example chloride, bromide, iodide, bicarbonate, methanesulfonate, toluenesulfonate, methylsulfate or trifluoromethanesulfonate.
The first step is the S-alkylation of compound A to produce compound B. A typical S-alkylating agent is S-methylthiomethane sulfonate. The second step is the oxidation of compound B to form compound C or a trialkanolsulfonylmethide acid. The oxidation reaction can be carried out by known organic chemical methods. The third step which follows is the reaction of compound C with an onium salt intermediate (Z) having the desired cation + A - ) By ion exchange reaction of (a) to synthesize a catalyst havingA target onium salt of the formula (1). The ion exchange reaction can be carried out by a known method, for example, according to the teaching of JP-A2007-145797.
The onium salts of the present invention function as very effective quenchers when applied to resist compositions. As used herein, a quencher is a compound that is capable of capturing acid generated by a PAG in a resist composition and preventing the acid from diffusing to unexposed areas, thereby forming a desired pattern.
The mechanism of acid diffusion control of onium salts is estimated as follows. In resist compositions, the PAG generates an acid that must be sufficiently acidic to deprotect acid labile groups on the base resin, for example, sulfonic acid, imidic acid (imidic acid), or methide acid (methide acid) that is fluorinated in the alpha-position relative to the sulfonic group in the case of ArF lithography. If a PAG and an onium salt of the present invention are present in a resist composition, the acid produced by the PAG is captured by a salt exchange reaction with the onium salt of the present invention. The trialkanesulfonylmethide acids are released from the onium salts of the present invention by salt exchange and have insufficient acid strength to deprotect the acid labile groups on the base resin. Thus, the onium salts of the present invention act as quenchers to capture acids produced by the PAGs. It is noteworthy that similar structures have been known in the past, for example, USP5554664 discloses onium salts, particularly sulfonium salts of tris (trifluoromethanesulfonyl) methide. Since these compounds have very high acidity due to the electron attraction effect of fluorine atoms, they do not function as quenchers, but function as photoacid generators capable of easily cleaving acid labile groups on a base resin. In contrast, the anion part of the onium salts of the present invention is a fluoride-free methide anion and forms the conjugate base of a weak acid, which has significantly different physical properties.
Generally, onium salt type quenchers tend to form resist patterns with better CDU and LWR than amine compound quenchers. The reason is presumed as follows. The salt exchange between the strong acid and the onium salt of the present invention is repeated indefinitely. The site at the end of the exposure where the strong acid is generated is different from the initial site where the strong acid generating onium salt is present. Since the cycle of photoacid generation and salt exchange is repeated many times, the acid generation points are averaged. Due to this smoothing effect, the developed resist pattern is improved in CDU and LWR.
Materials capable of exerting a quenching effect by a similar mechanism are known. For example, patent document 1 and JP-A2003-005376 use onium salts of carboxylic acids, alkanesulfonic acids, and arylsulfonic acids as quenchers. JP-A2012-108447 describes quenchers of the sulfonamide type. The quenchers reported to date have failed to meet the desired performance in further miniaturized areas. In contrast, the onium salts of the present invention achieve excellent resist performance even when forming a narrow pitch fine pattern. Although the reason is not yet fully understood, it is believed that this property is due to the structural specificity of the onium salts of the present invention. For example, since onium salts of carboxylic acids or alkanesulfonic acids are highly polar and thus less soluble in organic solvents, the onium salts may locally accumulate in the resist film. In contrast, the onium salts of the present invention are soluble in organic solvents, allowing them to be uniformly dispersed in resist films. Furthermore, the anions of the onium salts of the present invention have a methylated acid structure and therefore have low nucleophilicity as compared with onium salts of carboxylic or sulfonic acids. The onium salts of the present invention thus eliminate the risk of reaction with other components and have good stability. In addition, the onium salts of the present invention do not result in the cleavage of acid labile groups on the base resin due to the appropriate acidity. The onium salts of the present invention act as very effective quenchers, ultimately improving resist performance, particularly in terms of CDU and LWR.
Resist composition
Another embodiment of the present invention is directed to a resist composition comprising: (A) a quencher having an onium salt form of formula (1) as an essential component, (B) an organic solvent, (C) a polymer (base resin) comprising repeating units containing an acid labile group, and (D) a photoacid generator as an optional component. If desired, the resist composition may further comprise (E) a surfactant insoluble or substantially insoluble in water but soluble in an alkaline developer, and/or a surfactant insoluble or substantially insoluble in water and an alkaline developer (hydrophobic resin) and (F) a nitrogen-containing component.
The amount of the quencher (A) to be blended is preferably from 0.1 to 40 parts by weight, more preferably from 1 to 20 parts by weight, per 100 parts by weight of the base resin (C). As long as the amount of the quencher is within this range, a satisfactory quencher function is exerted, and any property loss such as sensitivity reduction and formation of foreign particles due to insufficient dissolution is avoided. The onium salts can be used alone or as a mixture of quenchers (A).
(B) Organic solvent
Any organic solvent may be used as long as the components are soluble therein. Examples of the organic solvent are described in JP-A2008-111103, paragraphs [0144] - [0145] (USP 7537880). Exemplary solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol and diacetone alcohol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether; esters such as Propylene Glycol Monomethyl Ether Acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate; and lactones such as gamma-butyrolactone, and mixtures thereof. When an acetal form of the acid labile group is used, a high boiling alcoholic solvent such as diethylene glycol, propylene glycol, glycerol, 1, 4-butanediol, or 1, 3-butanediol can be added to accelerate the deprotection reaction of the acetal. Among the above organic solvents, 1-ethoxy-2-propanol, PGMEA, cyclohexanone, gamma-butyrolactone, and mixtures thereof are recommended.
The organic solvent (B) is suitably used in an amount of 200 to 5000 parts by weight, more preferably 400 to 3000 parts by weight, per 100 parts by weight of the base resin (C).
(C) Base resin
The base resin used in the resist composition is preferably a polymer comprising a repeating unit containing an acid labile group, preferably having a repeating unit of formula (a 1) or (a 2).
Figure BDA0002217415130000151
In the formulae (a 1) and (a 2), R A Each independently hydrogen, fluorine, methyl or trifluoromethyl. Z A Is a single bond, phenylene, naphthylene or (main chain) -C (= O) -O-Z A1 -, wherein Z A1 Is C 1 -C 10 A linear, branched or cyclic alkanediyl group which may contain a hydroxyl moiety, an ether bond, an ester bond or a lactone ring, or is a phenylene group or a naphthylene group. Z is a linear or branched member B Is a single bond or (main chain) -C (= O) -O-. X A And X B Each independently an acid labile group. R B Is C 1 -C 20 A straight, branched or cyclic monovalent hydrocarbon group which may contain a heteroatom, and n is an integer of 0 to 4.
Wherein Z A Examples of the structure of formula (a 1) which is a variant are shown below, but not limited thereto. Wherein R is A And X A As defined above.
Figure BDA0002217415130000171
The polymer comprising the repeating unit having the formula (a 1) is decomposed by the action of an acid to generate a carboxyl group, thereby becoming alkali-soluble.
From X A And X B The acid labile group represented may be selected from a wide variety of such groups. Examples of the acid-labile group include groups of the following formulae (L1) to (L4), tertiary alkyl groups of 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, in which each alkyl moiety has a trialkylsilyl group of 1 to 6 carbon atoms, and an oxyalkyl group of 4 to 20 carbon atoms.
Figure BDA0002217415130000181
In the formula (L1), R L01 And R L02 Is hydrogen or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Exemplary alkyl groups include methyl,Ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, norbornyl, tricyclodecyl, tetracyclododecyl and adamantyl.
R L03 Is a monovalent hydrocarbon radical having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, which may contain a moiety comprising a heteroatom such as oxygen. Examples of monovalent hydrocarbon groups include straight, branched or cyclic alkyl groups and substituted versions of the above groups in which some of the hydrogens are replaced with hydroxyl, alkoxy, oxo, amino, alkylamino, etc., or in which some of the carbons of the above groups are replaced with moieties containing heteroatoms such as oxygen. Suitable alkyl radicals are as defined above for R L01 And R L02 As exemplified. Illustrative examples of substituted alkyl groups are shown below.
Figure BDA0002217415130000182
A pair of R L01 And R L02 、R L01 And R L03 Or R L02 And R L03 May be bonded together to form a ring with the carbon and oxygen atoms to which they are attached. R L01 、R L02 And R L03 Each of which is a straight or branched alkanediyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, when they form a ring.
In the formula (L2), R L04 Is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms; trialkylsilyl groups wherein each alkyl moiety has 1 to 6 carbon atoms; an oxyalkyl group having 4 to 20 carbon atoms; or a group of formula (L1). Exemplary tertiary alkyl groups are tert-butyl, tert-pentyl, 1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2- (bicyclo [2.2.1] methyl]Hept-2-yl) prop-2-yl, 2- (adamantan-1-yl) prop-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, and 2-ethyl-2-adamantyl. Exemplary trialkylsilyl groups are trimethylsilyl, triethylsilyl and dimethyl-t-butylsilyl. Exemplary oxyalkyl radicalsIs 3-oxocyclohexyl, 4-methyl-2-oxotetrahydropyran-4-yl and 5-methyl-2-oxotetrahydrofuran-5-yl. The letter x is an integer from 0 to 6.
In the formula (L3), R L05 Is optionally substituted straight, branched or cyclic C 1 -C 8 Alkyl or optionally substituted C 6 -C 20 And (4) an aryl group. Examples of the optionally substituted alkyl group include straight-chain, branched-chain or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, cyclopentyl and cyclohexyl, and substituted forms of the above groups in which some of the hydrogens are substituted with hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxygen, amino, alkylamino, cyano, mercapto, alkylthio, sulfo and the like. Examples of the optionally substituted aryl group include phenyl, methylphenyl, naphthyl, anthryl, phenanthryl and pyrenyl, and substituted forms in which some of the hydrogens of the above groups are substituted with hydroxy, alkoxy, carboxy, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo, and the like. The letter y equals 0 or 1, z is an integer from 0-3, and 2y + z equals 2 or 3.
In the formula (L4), R L06 Is optionally substituted straight, branched or cyclic C 1 -C 8 Alkyl or optionally substituted C 6 -C 20 And (4) an aryl group. Examples of alkyl and aryl radicals with para R L05 The same applies as exemplified.
R L07 -R L16 Each independently is hydrogen or C 1 -C 15 A monovalent hydrocarbon group. Suitable hydrocarbyl groups include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl and cyclohexylbutyl, and substituted versions of some of the hydrogens of the above groups with hydroxy, alkoxy, carboxy, alkoxycarbonyl, oxygen, amino, alkylamino, cyano, mercapto, alkylthio, sulfo, and the like. Or, R L07 -R L16 Two of which may be bonded together to form a ring with the carbon atom to which they are attached(e.g., a pair of R L07 And R L08 、R L07 And R L09 、R L07 And R L10 、R L08 And R L10 、R L09 And R L10 、R L11 And R L12 、R L13 And R L14 Or similar pairs form a ring). When R is L07 -R L16 When they form a ring, each of them represents a divalent C 1 -C 15 Examples of the hydrocarbon group are those in which one hydrogen atom is eliminated as exemplified above for the monovalent hydrocarbon group. R bound to adjacent carbon atoms L07 -R L16 Can be directly bonded together to form a double bond (e.g., a pair of R L07 And R L09 、R L09 And R L15 、R L13 And R L15 、R L14 And R L15 Or the like).
Among the acid-labile groups of formula (L1), straight-chain and branched groups are exemplified by the following groups, but are not limited thereto.
Figure BDA0002217415130000201
In the acid-labile group of formula (L1), the cyclic groups are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl and 2-methyltetrahydropyran-2-yl.
Examples of acid labile groups of formula (L2) include t-butoxycarbonyl, t-butoxycarbonylmethyl, t-pentyloxycarbonyl, t-pentyloxycarbonylmethyl, 1-diethylpropoxycarbonyl, 1-diethylpropoxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl, 1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl, 2-tetrahydropyranyloxycarbonylmethyl and 2-tetrahydrofuranyloxycarbonylmethyl groups.
Examples of acid labile groups of formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl-1-cyclohexen-3-yl, and 3-ethyl-1-cyclohexen-3-yl.
Among the acid-labile groups having formula (L4), groups having the following formulae (L4-1) to (L4-4) are preferred.
Figure BDA0002217415130000211
In the formulae (L4-1) to (L4-4), the dotted line indicates the bonding site and direction. R L41 Each independently is C 1 -C 10 A linear, branched or cyclic monovalent hydrocarbon group. Examples of monovalent hydrocarbon groups are alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, cyclopentyl, and cyclohexyl.
For formulas (L4-1) - (L4-4), stereoisomers (enantiomers or diastereomers) may be present. Each of formulae (L4-1) to (L4-4) collectively represents all such stereoisomers. Such stereoisomers may be used alone or in admixture.
For example, the formula (L4-3) represents one or a mixture of two selected from the group having the following formulae (L4-3-1) and (L4-3-2).
Figure BDA0002217415130000212
Wherein R is L41 As defined above.
Similarly, formula (L4-4) represents one or a mixture of two or more selected from the groups having the following formulae (L4-4-1) to (L4-4-4).
Figure BDA0002217415130000213
Wherein R is L41 As defined above.
Each of the formulae (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4) collectively represents an enantiomer and a mixture of enantiomers thereof.
It should be noted that in the above formulas (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4), the bonding direction is located outside with respect to the bicyclo [2.2.1] heptane ring, which ensures high reactivity for the acid-catalyzed elimination reaction (see JP-A2000-336121). In the preparation of these monomers having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, an endo-alkyl group-substituted monomer represented by the following formula (L4-1-endo) - (L4-4-endo) may be contained. For good reactivity, an exo proportion of at least 50mol% is preferred, and an exo proportion of at least 80mol% is more preferred.
Figure BDA0002217415130000221
Wherein R is L41 As defined above.
Illustrative examples of acid labile groups of formula (L4) are given below.
Figure BDA0002217415130000222
From X A Is represented by tertiary C 4 -C 20 Alkyl, trialkylsilyl wherein each alkyl moiety has 1 to 6 carbon atoms and C 4 -C 20 Examples of oxyalkyl radicals are as for R L04 As exemplified.
Illustrative examples of the repeating unit of formula (a 1) are given below, but not limited thereto. Wherein R is A As defined above.
Figure BDA0002217415130000231
Figure BDA0002217415130000241
The above examples correspond to the case where Z A Of formula (a 1) being a single bondThose units. When Z is A When not a single bond, it may be combined with a similar acid labile group. Thus, wherein Z A Examples of the repeating unit of the formula (a 1) which is not a single bond are shown above.
In the formula (a 2), R B Is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Examples of monovalent hydrocarbon radicals are as defined above for R 11 -R 19 As exemplified. Subscript n is an integer of from 0 to 4, preferably 0 or 1.
Similar to the polymer including the repeating unit having the formula (a 1), the polymer including the repeating unit having the formula (a 2) is decomposed by the action of an acid to generate a hydroxyl group, thereby becoming alkali-soluble.
Illustrative examples of the repeating unit of formula (a 2) are given below, but not limited thereto. Wherein R is A As defined above.
Figure BDA0002217415130000261
Figure BDA0002217415130000271
In a preferred embodiment, the polymer further comprises a repeat unit having formula (b 1) or (b 2).
Figure BDA0002217415130000272
In the formulae (b 1) and (b 2), R A As defined above. Y is A Is hydrogen or contains at least one polar group selected from the following structures: hydroxyl group, cyano group, carbonyl group, carboxyl group, ether bond, ester bond, sulfonate ester bond, carbonate ester bond, lactone ring, sultone ring and carboxylic anhydride, and m is 1 or 2.
Illustrative examples of the repeating unit of formula (b 1) are given below, but not limited thereto. Wherein R is A As defined above.
Figure BDA0002217415130000281
Figure BDA0002217415130000291
Figure BDA0002217415130000301
Figure BDA0002217415130000311
Figure BDA0002217415130000321
Figure BDA0002217415130000331
Figure BDA0002217415130000341
Figure BDA0002217415130000351
Figure BDA0002217415130000361
Illustrative examples of the repeating unit of formula (b 2) are given below, but not limited thereto. Wherein RA is as defined above.
Figure BDA0002217415130000371
Among the repeating units of the formula (b 1) or (b 2), those having a lactone ring as a polar group are preferable in the ArF lithography process, and those having a phenol site are preferable in the KrF, EB and EUV lithography processes.
The polymer may further comprise a repeating unit having formula (c 1) or (c 2).
Figure BDA0002217415130000372
In the formulae (c 1) and (c 2), R A As defined above. R 21 、R 22 And R 23 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. L is 1 Is C 2 -C 5 An alkanediyl group. R C Is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. R is D And R E Each independently hydrogen or trifluoromethyl. L is a radical of an alcohol 2 Is a single bond or C 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group which may contain heteroatoms, p is 0 or 1, q is 0 or 1, when L 2 And q is 0 in the case of a single bond.
From R C 、R 21 、R 22 And R 23 Examples of the monovalent hydrocarbon groups represented are as described above for R in formula (1) 1 -R 3 As exemplified.
From L 1 Examples of the alkanediyl group represented include ethane-1, 2-diyl group, propane-1, 3-diyl group, butane-1, 3-diyl group and butane-1, 4-diyl group.
R D And R E Preferably trifluoromethyl.
From L 2 Examples of the divalent hydrocarbon groups represented include linear alkanediyl groups such as methylene, ethylene, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl, tetradecane-1, 14-diyl, pentadecane-1, 15-diyl, hexadecane-1, 16-diyl and heptadecane-1, 17-diyl; bivalent saturationCyclic hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantyldiyl; and arylene groups such as phenylene and naphthylene. In these groups, some of the hydrogens may be substituted with an alkyl moiety such as methyl, ethyl, propyl, n-butyl or t-butyl, or a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some of the carbons may be replaced with a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, a cyano moiety, a carbonyl moiety, an ether bond, a thioether bond, an ester bond, a sulfonate (sulfonic acid ester) bond, a carbonate bond, a urethane bond, a lactone ring, a sultone ring, a carboxylic anhydride or a haloalkyl moiety.
Illustrative structures of the anion moiety in formula (c 1) include those described in JP-A2010-113209 and JP-A2007-145797. Wherein R is E Illustrative structures of the anionic moiety in the formula (c 2) which is hydrogen include those described in JP-A2010-116550. Wherein R is E Illustrative structures of the anionic moiety in formula (c 2) which is a trifluoromethyl group include those described in JP-A2010-077404.
In addition to the above units, the polymers may also contain other repeating units, for example derived from monomers such as substituted acrylates, for example methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsaturated carboxylic acids, for example maleic acid, fumaric acid and itaconic acid, cyclic olefins, for example norbornene, norbornene derivatives and tetracyclo [6.2.1.1 3,6 .0 2,7 ]Dodecene derivatives, unsaturated anhydrides such as itaconic anhydride and repeat units of other monomers.
The weight average molecular weight (Mw) of the polymer is generally 1000 to 500000, preferably 3000 to 100000, as measured by Gel Permeation Chromatography (GPC) using Tetrahydrofuran (THF) solvent for polystyrene standards. When Mw is within this range, satisfactory etching resistance can be achieved, and a decrease in resolution due to a difference in dissolution rate that is difficult to obtain before and after exposure can be avoided.
If the polymer has a broad molecular weight distribution or dispersity (Mw/Mn), which indicates that there are lower and higher molecular weight polymer fractions, there is a possibility that foreign matter may be left on the pattern or the pattern shape may be deteriorated. As the pattern rule becomes finer, the influence of the molecular weight and the degree of dispersion becomes stronger. Therefore, the polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0 in order to provide a resist composition suitable for micropatterning to small feature sizes.
A single polymer or a blend of two or more polymers different in composition ratio, mw and/or Mw/Mn may be used as the base resin (C).
The polymer may be synthesized by any desired method, for example, by dissolving one or more monomers containing an unsaturated bond in an organic solvent, adding a radical initiator, and heating for polymerization. Suitable organic solvents for use herein include toluene, benzene, tetrahydrofuran, diethyl ether and dioxane. Examples of the polymerization initiator used herein include 2,2 '-Azobisisobutyronitrile (AIBN), 2' -azobis (2, 4-dimethylvaleronitrile), dimethyl 2, 2-azobis (2-methylpropionate), benzoyl peroxide and lauroyl peroxide. The reaction temperature is preferably 50 to 80 ℃ and the reaction time is 2 to 100 hours, more preferably 5 to 20 hours. The acid labile groups added to the monomer may remain as such or the polymer may be protected or partially protected at the end of polymerization.
Suitable mole fractions (mol%) of each repeating unit derived from the monomer in the polymer are given below, but the present invention is not limited thereto. The polymer may include:
(I) 1 to 60mol%, preferably 5 to 50mol%, more preferably 10 to 50mol%, of at least one type of recurring units having formula (a 1) or (a 2),
(II) 40 to 99mol%, preferably 50 to 95mol%, more preferably 50 to 90mol%, of at least one type of recurring units having the formula (b 1) or (b 2), and
(III) 0 to 50mol%, preferably 0 to 40mol%, more preferably 0 to 30mol%, of at least one type of recurring units derived from another monomer.
(D) Photoacid generators
The resist composition may further comprise a photoacid generator (PAG). The PAG may be any compound capable of generating an acid upon exposure to high energy radiation (e.g., UV, deep UV, EB, EUV, X-ray, excimer laser, gamma-ray, and synchrotron radiation). Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazamethane, N-sulfonyloxydicarboximides, O-arylsulfonyl oximes and O-alkylsulfonyloximes, which may be used alone or in admixture. Suitable examples are described, for example, in JP-A2007-145797, paragraphs [0102] - [0113 ].
The salt having formula (2) is preferred as PAG (D).
Figure BDA0002217415130000401
In the formula (2), R 101 、R 102 And R 103 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. R 101 、R 102 And R 103 Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. Monovalent hydrocarbon radicals such as above for R 11 -R 19 As defined and exemplified. Examples of the sulfonium cation in the formula (2) are as exemplified above for the sulfonium cation of the formula (1-1).
In the formula (2), X - Is an anion selected from the group consisting of formulas (2A) - (2D).
R fa -CF 2 -SO 3 - (2A)
Figure BDA0002217415130000402
Figure BDA0002217415130000403
Figure BDA0002217415130000404
In the formula (2A), R fa Is fluorine or C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Monovalent hydrocarbon group with the following pair R 112 The definitions and illustrations are the same.
Among the anions of formula (2A), those having the structure of formula (2A') are particularly preferred.
Figure BDA0002217415130000405
In the formula (2A'), R 111 Is hydrogen or trifluoromethyl. R 112 Is C 1 -C 30 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogens, preferably oxygen. Among the monovalent hydrocarbon groups, those of 6 to 30 carbon atoms are preferable because high resolution can be obtained in fine pattern formation.
Examples of the monovalent hydrocarbon group include straight-chain or branched alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl and eicosyl; monovalent saturated cycloaliphatic hydrocarbon groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecyl, tetracyclododecyl, tetracyclododecylmethyl, dicyclohexylmethyl; monovalent unsaturated aliphatic hydrocarbon groups such as allyl and 3-cyclohexenyl; aryl groups such as phenyl, 1-naphthyl and 2-naphthyl; and aralkyl groups such as benzyl and benzhydryl. Examples of the monovalent hydrocarbon group containing a hetero atom include tetrahydrofuryl, methoxymethyl, ethoxymethyl, methylthiomethyl, acetamidomethyl, trifluoromethyl, (2-methoxyethoxy) methyl, acetoxymethyl, 2-carboxy-1-cyclohexyl, 2-oxopropyl, 4-oxo-1-adamantyl, and 3-oxocyclohexyl groups. In these groups, some of the hydrogens may be replaced with moieties containing heteroatoms such as oxygen, sulfur, nitrogen, or halogens, or some of the carbons may be replaced with moieties containing heteroatoms such as oxygen, sulfur, or nitrogen, such that the group may contain hydroxyl, cyano, carbonyl, ether, ester, sulfonate, carbonate, lactone ring, sultone ring, carboxylic anhydride, or haloalkyl moieties.
With regard to the synthesis of sulfonium salts having the anion of formula (2A'), reference is made to JP-A2007-145797, JP-A2008-106045, JP-A2009-007327 and JPA2009-258695.
Examples of the anion having the formula (2A) include nonafluorobutane sulfonate ion, partially fluorinated sulfonate ion described in JP-A2012-189977, paragraphs [0247] - [0251], and partially fluorinated sulfonate ion described in JP-A2013-101271, paragraphs [0261] - [0265 ].
Further examples of the anion having the formula (2A) include the following, but are not limited thereto.
Figure BDA0002217415130000421
Figure BDA0002217415130000431
In the formula (2B), R fb1 And R fb2 Each independently of the other being fluorine or C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Examples of monovalent hydrocarbon radicals are given for R 112 As exemplified. Preferably R fb1 And R fb2 Is fluorine or C 1 -C 4 A linear fluorinated alkyl group. Furthermore, R fb1 And R fb2 Chains that can be bonded together with which they are attached: -CF 2 -SO 2 -N - -SO 2 -CF 2 -forming a ring. In this case, R fb1 And R fb2 The combination of (b) is preferably a fluorinated ethylene group or a fluorinated propylene group.
In the formula (2C), R fc1 、R fc2 And R fc3 Each independently being fluorine or C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Examples of monovalent hydrocarbon radicals are given for R 112 As exemplified. Preferably R fc1 、R fc2 And R fc3 Is fluorine or C 1 -C 4 A linear fluorinated alkyl group. Furthermore, R fc1 And R fc2 Can be bonded together with the chain to which they are attached: -CF 2 -SO 2 -C - -SO 2 -CF 2 -forming a ring. R is fc1 And R fc2 The combination of (b) is preferably a fluorinated ethylene group or a fluorinated propylene group.
In the formula (2D), R fd Is C 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. Examples of monovalent hydrocarbon radicals are given for R 112 As exemplified.
For the synthesis of sulfonium salts with anions of the formula (2D), reference is made to JP-a 2010-215608.
Examples of the anion having formula (2D) include the following, but are not limited thereto.
Figure BDA0002217415130000441
Notably, compounds having an anion of formula (2D) do not have a fluorine in the alpha position relative to the sulfo group, but have two trifluoromethyl groups in the beta position. For this reason, it is sufficiently acidic to cleave acid labile groups in the resist polymer. Thus, the compound is an effective PAG.
Another preferred PAG (D) is a compound having the general formula (3).
Figure BDA0002217415130000451
In the formula (3), R 201 And R 202 Each independently is C 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, which may contain heteroatoms. R is 203 Is C 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group, which may contain heteroatoms. R 201 、R 202 And R 203 Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. L is 3 Is a divalent linking group. L is a radical of an alcohol A Is a single bond or C 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group, which may contain heteroatoms.
From R 201 And R 202 Examples of the monovalent hydrocarbon groups represented include methyl group ethyl group,Propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclohexylmethyl, cyclohexylethyl, norbornyl, oxanorbornyl, tricyclo [5.2.1.0 2,6 ]Decyl, adamantyl, phenyl, and naphthyl. In these groups, some hydrogen may be substituted with a moiety containing a heteroatom such as oxygen, sulfur, nitrogen, or halogen, or a moiety containing a heteroatom such as oxygen, sulfur, or nitrogen may be interposed between carbon atoms, such that the group may contain a hydroxyl moiety, a cyano moiety, a carbonyl moiety, an ether linkage, an ester linkage, a sulfonate linkage, a carbonate linkage, a lactone ring, a sultone ring, a carboxylic anhydride, or a haloalkyl moiety. Wherein optionally substituted aryl is preferably R 201 And R 202
From R 203 Examples of the divalent hydrocarbon groups represented include linear alkanediyl groups such as methylene, ethylene, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl, tetradecane-1, 14-diyl, pentadecane-1, 15-diyl, hexadecane-1, 16-diyl and heptadecane-1, 17-diyl; saturated cyclic hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl; and unsaturated cyclic hydrocarbon groups such as phenylene and naphthylene. In these groups, some of the hydrogens may be substituted with alkyl moieties such as methyl, ethyl, propyl, n-butyl or t-butyl, or moieties containing heteroatoms such as oxygen, sulfur, nitrogen or halogen; moieties containing heteroatoms such as oxygen, sulfur or nitrogen may be interposed between carbon atoms such that the group may contain hydroxyl moieties, cyano moieties, carbonyl moieties, ether linkages, thioether linkages, ester linkages, sulfonate linkages, carbonate linkages, lactone rings, sultone rings, carboxylic anhydride or haloalkyl moieties. Among them, an optionally substituted aryl group is preferable as R 203
From L 3 Examples of linking groups represented include ether linkages, ester linkages, thioether linkages, sulfinate linkages, sulfonate linkages, carbonate linkages, and urethane linkages.
From L 4 Examples of the divalent hydrocarbon group represented include linear alkanediyl groups such as methylene, ethane-1, 1-diyl, ethane-1, 2-diyl, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl, tetradecane-1, 14-diyl, pentadecane-1, 15-diyl, hexadecane-1, 16-diyl and heptadecane-1, 17-diyl; saturated cyclic hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantyldiyl; and unsaturated cyclic hydrocarbon groups such as phenylene and naphthylene. In these groups, some of the hydrogens may be substituted with alkyl moieties such as methyl, ethyl, propyl, n-butyl or t-butyl; some hydrogens may be substituted with a heteroatom-containing moiety such as oxygen, sulfur, nitrogen, or halogen, or a heteroatom-containing moiety such as oxygen, sulfur, or nitrogen may be interposed between carbon atoms, such that the group may contain a hydroxyl moiety, a cyano moiety, a carbonyl moiety, an ether linkage, an ester linkage, a sulfonate linkage, a carbonate linkage, a lactone ring, a sultone ring, a carboxylic anhydride, or a haloalkyl moiety. Among them, preferred are methylene and methylene substituted with fluorine or trifluoromethyl as L 4
Examples of PAGs having formula (3) are shown below, but are not limited thereto. Wherein R is hydrogen, fluorine or trifluoromethyl.
Figure BDA0002217415130000471
Figure BDA0002217415130000481
The amount of PAG (D) used is preferably 0 to 40 parts by weight per 100 parts by weight of the base resin (C), and when added, preferably 0.1 to 40 parts by weight, more preferably 0.1 to 20 parts by weight. If the amount of PAG is within this range, satisfactory resolution is obtained and the problem of foreign particles after resist development or during stripping is avoided. PAGs may be used alone or in admixture.
(E) Surface active agent
The resist composition may further comprise (E) a surfactant, which is generally used to facilitate the coating operation. As the surfactant, reference should be made to those compounds described in JP-A2010-215608 and JP-A2011-016746.
Component (E) is typically a surfactant that is insoluble or substantially insoluble in water but soluble in an alkaline developer, or a surfactant (hydrophobic resin) that is insoluble or substantially insoluble in water and an alkaline developer.
Although many examples of surfactants that are insoluble or substantially insoluble in water and alkaline developers are described in the patent literature cited herein, preferred examples are fluorochemical surfactants FC-4430 (3M), olfine E1004 (Nissin Chemical co., ltd.),
Figure BDA0002217415130000491
s-381, KH-20, and KH-30 (AGC Seimi Chemical Co., ltd.). Partially fluorinated oxetane ring-opening polymers having the formula (surf-1) are also useful.
Figure BDA0002217415130000492
It is specified here that R, rf, A, B, C, m and n only apply to the formula (surf-1), independently of the further description of the surfactants. R is divalent to tetravalent C 2 -C 5 An aliphatic group. Exemplary divalent aliphatic groups include ethylene, 1, 4-butylene, 1, 2-propylene, 2-dimethyl-1, 3-propylene, and 1, 5-pentylene. Exemplary trivalent and tetravalent groups are shown below.
Figure BDA0002217415130000493
Herein, the dotted line represents a bond. These formulae are partial structures derived from glycerol, trimethylolethane, trimethylolpropane and pentaerythritol, respectively. Among them, 1, 4-butene and 2, 2-dimethyl-1, 3-propene are preferably used.
Rf is trifluoromethyl or pentafluoroethyl, preferably trifluoromethyl. The letter m is an integer of 0 to 3, n is an integer of 1 to 4, and the sum of m and n, which represents the valence of R, is an integer of 2 to 4. "A" is equal to 1, "B" is an integer from 2 to 25, and "C" is an integer from 0 to 10. Preferably, "B" is an integer from 4 to 20, and "C" is 0 or 1. Note that the formula (surf-1) does not specify the arrangement of the respective constituent units, and they may be arranged in blocks or randomly. For the preparation of surfactants in the form of partially fluorinated oxetane ring-opening polymers reference should be made to, for example, USP 5650483.
When ArF immersion lithography is applied to a resist composition in the absence of a resist protective film, a surfactant that is insoluble or substantially insoluble in water but soluble in an alkaline developer is useful. In this embodiment, the surfactant has a tendency to separate on the resist surface after spin coating to achieve the function of minimizing water penetration or leaching. The surfactant is also effective in preventing leaching of water-soluble components from the resist film to minimize any damage to the exposure tool. The surfactant becomes soluble during exposure and alkaline development after PEB, and therefore forms little or no foreign particles of defects. Preferred surfactants are polymeric surfactants that are insoluble or substantially insoluble in water but soluble in alkaline developers, which are also referred to as "hydrophobic resins" in this sense, particularly which have water repellency and enhance water slippage.
Suitable polymeric surfactants include those comprising at least one type of repeating unit selected from formulas (4) - (8).
Figure BDA0002217415130000501
In the formulae (4) to (8), R F Is hydrogen or methyl. W is-CH 2 -、-CH 2 CH 2 -or-O-, or two separate-H. R 301 Each independently is hydrogen or C 1 -C 10 A linear, branched or cyclic monovalent hydrocarbon group. R 302 Is a single bond or C 1 -C 5 A linear or branched divalent hydrocarbon group. R 303 Each independently is hydrogen, C 1 -C 15 A linear, branched or cyclic monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group. When R is 303 In the case of monovalent hydrocarbon or fluorinated hydrocarbon groups, an ether linkage (-O-) or a carbonyl moiety (- = O) -) may be interposed between carbon-carbon bonds. R is 304 Is a (u + 1) -valent linear, branched or cyclic hydrocarbon group or a fluorinated hydrocarbon group, and u is an integer of 1 to 3. R 305 Each independently is hydrogen or a group having formula (i):
-C(=O)-O-R 305A (i)
wherein R is 305A Is C 1 -C 20 A linear, branched or cyclic fluorocarbon group. R 306 Is C 1 -C 15 A linear, branched or cyclic monovalent hydrocarbon group or a fluorinated hydrocarbon group, wherein an ether bond (-O-) or a carbonyl moiety (- = O) -) may be in a carbon-carbon bond.
From R 301 Examples of the monovalent hydrocarbon groups represented include methyl, ethyl, n-propyl, isopropyl, cyclopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, cyclobutyl, n-pentyl, cyclopentyl, n-hexyl, cyclohexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, adamantyl and norbornyl groups. Particularly preferred is C 1 -C 6 Straight, branched or cyclic hydrocarbon groups.
From R 302 Examples of the divalent hydrocarbon groups represented include methylene, ethylene, propylene, butylene and pentylene.
From R 303 Or R 306 Examples of the monovalent hydrocarbon groups represented include alkyl groups, alkenyl groups and alkynyl groups, with alkyl groups being preferred. Suitable alkyl groups include those represented by R 301 The monovalent hydrocarbon groups represented are exemplified by those of n-undecyl, n-dodecyl, tridecyl, tetradecyl, and pentadecyl. From R 303 Or R 306 Examples of the monovalent fluorinated hydrocarbon groups represented include the above-mentioned monovalent hydrocarbon groups in which some or all of the carbon-bonded hydrogen atoms are substituted with fluorine atoms. In these groups, an ether linkage (-O-) or a carbonyl moiety (- = O) -) may be interposed between carbon-carbon bonds as described above.
From R 303 Examples of the acid-labile group represented include groups of the above formulae (L1) to (L4), C 4 -C 20 Preferably C 4 -C 15 Tertiary alkyl groups, trialkylsilyl groups wherein each alkyl moiety has 1 to 6 carbon atoms, and C 4 -C 20 An oxyalkyl group.
From R 304 Examples of the (u + 1) -valent hydrocarbon group or fluorinated hydrocarbon group represented include the above-mentioned monovalent hydrocarbon groups or fluorinated hydrocarbon groups excluding the number of hydrogen atoms (u).
From R 305A Examples of the fluorinated hydrocarbon groups represented include the above-mentioned monovalent hydrocarbon groups in which some or all of the hydrogen atoms are substituted with fluorine atoms. Illustrative examples include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,6,6,7,7-dodecafluoroheptyl, 2- (perfluorobutyl) ethyl, 2- (perfluorohexyl) ethyl, 2- (perfluorooctyl) ethyl and 2- (perfluorodecyl) ethyl.
Examples of the repeating units having the formulas (4) to (8) are shown below, but not limited thereto. Wherein R is F As defined above.
Figure BDA0002217415130000521
Figure BDA0002217415130000531
Figure BDA0002217415130000541
The polymeric surfactant may further contain a repeating unit other than the repeating units having the formulas (4) to (8). Typical other repeating units are those derived from methacrylic acid and alpha-trifluoromethylacrylic acid derivatives. In the polymeric surfactant, the content of the repeating units having the formulae (4) to (8) is preferably at least 20mol%, more preferably at least 60mol%, most preferably 100mol% of the total repeating units.
The Mw of the polymeric surfactant is preferably 1000 to 500000, more preferably 2000 to 30000. The polymeric surfactant having Mw within this range exerts a satisfactory surface modification effect and causes no or little development defects. The Mw/Mn is preferably 1.0 to 2.0, more preferably 1.0 to 1.6.
For surfactants insoluble or substantially insoluble in water but soluble in an alkaline developer, reference is made to JP-a 2008-122932, 2009-098638, 2009-191151, 2009-192784, 2009-276363, 2010-107695, 2010-134012, 2010-250105, and 2011-042789.
The amount of the surfactant (E) is preferably 0 to 20 parts by weight per 100 parts by weight of the base resin (C). When used, the amount of surfactant is preferably at least 0.001 parts by weight, more preferably at least 0.01 parts by weight, up to 15 parts by weight, more preferably up to 10 parts by weight. The surfactants (E) may be used alone or in admixture.
(F) Nitrogen-containing compounds
Although the resist composition needs to contain the component (a) or the quencher, a nitrogen-containing compound may be added as an additional quencher. Suitable nitrogen-containing compounds include primary, secondary and tertiary amine compounds, particularly amine compounds having a hydroxyl group, an ether bond, an ester bond, a lactone ring, a cyano group or a sulfonate ester bond, as described in JP-A2008-111103 paragraphs [0146] - [0164], and primary and secondary amine compounds protected with a urethane group, as described in JP 3790649.
Sulfonium salts of sulfonic acids having nitrogen-containing substituents may also be used as component (F). This compound acts as a quencher in the unexposed areas but acts as a so-called photodegradable base in the exposed areas because it neutralizes with the self-generated acid and loses the quencher function in the exposed areas. The contrast between exposed and unexposed regions can be further enhanced using a photodegradable base. As the photodegradable base, reference is made to, for example, JP-A2009-109595 and 2012-046501.
The amount of the nitrogen-containing compound (F) is preferably from 0.001 to 12 parts by weight, more preferably from 0.01 to 8 parts by weight, per 100 parts by weight of the base resin (C). The nitrogen-containing compounds can be used alone or in admixture.
Method
Another embodiment of the present invention is a resist pattern forming method, including the steps of: the resist composition defined above is applied to a substrate to form a resist film thereon, the resist film is patternwise exposed to KrF or ArF excimer laser, EB or EUV, and the resist film is developed in a developer to form a resist pattern.
Patterning using the resist composition of the present invention can be performed by a well-known photolithography method. Typically, the resist composition is first applied to a substrate, such as a substrate used in IC fabrication (e.g., si, siO), by a suitable coating technique (e.g., spin coating) 2 SiN, siON, tiN, WSi, BPSG, SOG, organic anti-reflective coatings, etc.) or substrates used in mask circuit fabrication (e.g., cr, crO, crON, moSi 2 、SiO 2 Etc.). The coating is prebaked on a hot plate at a temperature of 60 to 180 ℃ for 10 to 600 seconds, preferably 70 to 150 ℃ for 15 to 300 seconds, to form a resist film of 0.05 to 2 μm thickness.
The resist film is then patternwise exposed to a KrF or ArF excimer laser, EUV or EB. In the case of using KrF or ArF excimer laser or EUV, the resist film is exposed through a mask having a desired pattern, preferably at a dose of 1 to 200mJ/cm 2 More preferably 10 to 100mJ/cm 2 . When EB is used, the pattern may be written directly or through a mask having the desired pattern, preferably in a dose of 1-300. Mu.C/cm 2 More preferably 10 to 200. Mu.C/cm 2
The exposure may be performed by conventional photolithography, and if necessary, immersion lithography in which a refractive index of a liquid held between a resist film and a projection lens is at least 1.0 may be employed. In the case of immersion lithography, a protective film insoluble in water can be formed on the resist film.
Although the water-insoluble protective film is used to prevent leaching of any component from the resist film and improve water-sliding of the film surface, it is generally classified into two types. The first type is an organic solvent-peelable protective film, which must be peeled off with an organic solvent in which the resist film is insoluble before alkali development. The second type is an alkali-soluble protective film, which is soluble in an alkali developer and thus can be removed at the same time as the dissolved region of the resist film is removed. The second type of protective film is preferably a material comprising a polymer having a residue of 1,1,1,3,3,3-hexafluoro-2-propanol (which is insoluble in water but soluble in an alkaline developer) as a base in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms or a mixture thereof. Alternatively, the above surfactant insoluble in water but soluble in an alkaline developer may be dissolved in an ether solvent of 8 to 12 carbon atoms in an alcohol solvent of at least 4 carbon atoms or a mixture thereof to form a material for forming the second type protective film.
After exposure, the resist film may be baked (PEB), for example, on a hot plate, preferably at 60-150 deg.C for 1-5 minutes, more preferably at 80-140 deg.C for 1-3 minutes.
Finally, development is carried out using an aqueous alkaline solution, for example, an aqueous solution of 0.1 to 5% by weight, preferably 2 to 3% by weight of tetramethylammonium hydroxide (TMAH) as a developer, which is carried out by a conventional method such as immersion development, spin immersion development or spray development for 0.1 to 3 minutes, preferably 0.5 to 2 minutes. In this way, a desired pattern is formed on the substrate.
Any desired step may be added to the pattern forming method. For example, after the resist film is formed, a step of rinsing with pure water (post-immersion) may be introduced to extract the acid generator and the like from the film surface or to wash away particles. After exposure, a rinse (post-soak) step may be introduced to remove any water remaining on the film after exposure.
The pattern may also be formed by a double patterning method. The double patterning method includes a trench process for processing a substrate into a 1; and processing the first substrate into a1.
In the pattern forming method, an aqueous alkali solution is generally used as a developer. In contrast, a negative toner development technique in which unexposed regions are developed and dissolved in an organic solvent is also applicable.
In the organic solvent development, the organic solvent used as the developer is preferably selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, isoamyl acetate, butenyl acetate, phenyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, benzyl acetate, methyl phenylacetate, benzyl formate, ethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate. These organic solvents may be used alone or in combination of two or more.
Examples
Examples and comparative examples are given below by way of illustration and not limitation. All parts are by weight (pbw). The composition of the copolymer is expressed in terms of molar ratio. THF is tetrahydrofuran, DMF is dimethylformamide, MIBK is methyl isobutyl ketone, and PGMEA is propylene glycol monomethyl ether acetate. Mw is determined by GPC versus polystyrene standards. The analytical instrument is as follows.
IR:NICOLET 6700,Thermo Fisher Scientific Inc.
1 H-NMR:ECA-500,JEOL Ltd.
MALDI-TOFMS:S3000,JEOL Ltd.
[1] Synthesis of quenchers or onium salts
Examples 1 to 1
Synthesis of triphenylsulfonium bis (cyclohexanesulfonyl) (methylsulfonyl) methide (Q-A) (1) Synthesis of bis (cyclohexanesulfonyl) (methylthio) methane (intermediate A)
Figure BDA0002217415130000581
4.5g of S-methylthiomethanesulfonate was added dropwise to a mixture of 9.2g of bis (cyclohexanesulfonyl) methane, 6.1g of triethylamine and 28g of dimethylformamide under ice-cooling. After aging the solution for 3 hours, 140g of dilute hydrochloric acid was added for crystallization. The crystalline precipitate was filtered, washed with ultrapure water, then with methanol and dried in vacuo to give 7.8g (74% yield) of intermediate A of the desired compound.
(2) Synthesis of bis (cyclohexanesulfonyl) (methylsulfonyl) methane (intermediate B)
Figure BDA0002217415130000582
3.9g of 35wt% aqueous hydrogen peroxide solution were added dropwise to a mixture of 5.6g of intermediate A and 28g of acetic acid at room temperature. The solution was aged at 60 ℃ for 2 hours. The reaction solution was cooled to room temperature. The resulting crystalline precipitate was filtered and washed with water. The wet crystals were dried in vacuo to give 4.4g of intermediate B, the target compound. The product was used in the next step without further purification.
(3) Synthesis of Triphenylsulfonium bis (Cyclohexanesulfonyl) (methylsulfonyl) methide (Q-A)
Figure BDA0002217415130000591
A mixture of 4.4g of intermediate B,31g of a 20wt% aqueous solution of triphenylsulfonium bicarbonate (prepared according to the teaching of WO 2015/019983) and 30g of MIBK was aged at room temperature for 1 hour. The organic layer was removed and washed with ultrapure water. The washed organic layer was concentrated under reduced pressure, purified by column chromatography, and recrystallized from MIBK. The obtained crystals were recovered and dried in vacuum to obtain 3.8g (yield: 59%) of triphenylsulfonium bis (cyclohexanesulfonyl) (methylsulfonyl) methide (Q-A) as an aimed compound.
The target compound is analyzed by spectroscopy. NMR spectrum, DMSO-d 6 In (1) 1 H-NMR is shown in FIG. 1. In that 1 In H-NMR analysis, traces of residual solvent (MIBK) and water were observed.
IR(D-ATR):
2928,2859,1478,1447,1306,1289,1259,1132,1110,1076,1008,991,952,763,755,750,687,657,609,544,528,514,496cm -1
MALDI-TOFMS:
N is [ M ] + ]263 (corresponding to C) 18 H 15 S + )
Negative [ M ] - ]385 (corresponding to C) 14 H 25 O 6 S 3 - )
Examples 1 to 2
Synthesis of bis (4-t-butyl) diphenyliodonium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-B)
(1) Synthesis of benzyltrimethylammonium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (intermediate C)
Figure BDA0002217415130000601
A solution of 50.02g of bis (cyclohexanesulfonyl) methane in 250g of THF is added dropwise at room temperature to a solution of 14.28g of sodium hydride (55% by weight in liquid paraffin) in 50g of THF. Subsequently, 24.18g of methanesulfonyl chloride was added dropwise to the solution, and stirred at 50 ℃ for 15 hours. After 355g of 5wt% hydrochloric acid was added to the solution to quench the reaction, methylene chloride and water were added to the reaction solution, and they were separated. The organic layer was taken out, 28.51g of a 25wt% aqueous sodium hydroxide solution was added, and the solution was stirred. Subsequently, 36.10g of benzyltrimethylammonium chloride was added to the solution, and it was separated. The organic layer was taken out, washed with ultrapure water, concentrated under reduced pressure, and crystallized from MIBK. The resulting crystals were recovered and dried in vacuo to yield 35.81g of intermediate C (two steps yield 40.9%).
(2) Synthesis of bis (4-t-butyl) diphenyliodonium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-B)
Figure BDA0002217415130000602
5g of intermediate C, 5g of bis (4-tert-butyl) diphenyliodonium chloride, 45g of MIBK and 10g of water were mixed and stirred at room temperature for 30 minutes. The organic layer was taken out, washed with water, and concentrated under reduced pressure. Diisopropyl ether was added to the concentrate, from which crystals precipitated. The crystalline precipitate was filtered and dried under vacuum at 50 ℃ to give 7g (yield 90%) of bis (4-tert-butyl) diphenyliodonium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-B) as a white solid of the title compound.
The target compound is analyzed by spectroscopy. NMR spectrum, DMSO-d 6 In (1) 1 H-NMR is shown in FIG. 2. In that 1 In H-NMR analysis, traces of residual solvent (MIBK and diisopropyl ether) and water were observed.
IR(D-ATR):
2965,2935,2856,1483,1453,1290,1270,1246,1215,1126,1093,1011,988,951,841,655,605,542,526,518cm -1
MALDI-TOFMS:
N is [ M ] + ]393 (corresponding to C) 20 H 26 I + )
Negative [ M ] - ]385 (corresponds to C) 14 H 25 O 6 S 3 - )
Examples 1 to 3
Synthesis of phenyldibenzothiophenium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-C)
Figure BDA0002217415130000611
5g of intermediate C, 4g of 5-phenyldibenzothiophenium methylsulfate, 28g of methylene chloride and 24g of water were mixed and stirred at room temperature for 30 minutes. The organic layer was taken out, washed with water, and concentrated under reduced pressure. Thereafter, 50g of MIBK was added to the concentrate, washed with water and concentrated under reduced pressure. Diisopropyl ether was added to the concentrate, from which crystals precipitated. The crystalline precipitate was filtered and dried under vacuum at 50 ℃ to give 4g (yield 63%) of 5-phenyldibenzothiophenium bis (cyclohexanesulfonyl) (methanesulfonyl) methane (Q-C) as a white solid of the objective compound.
The target compound is analyzed by spectroscopy. NMR spectrum, DMSO-d 6 In (1) 1 H-NMR is shown in FIG. 3. In that 1 In H-NMR analysis, traces of residual solvent (MIBK) and water were observed.
IR(D-ATR):
2936,2921,2849,1448,1307,1287,1244,1113,1096,1010,987,957,939,770,759,661,580,541,526cm -1
MALDI-TOFMS:
N is [ M ] + ]261 (corresponds to C) 18 H 13 S + )
Negative [ M ] - ]385 (corresponds to C) 14 H 25 O 6 S 3 - )
Examples 1 to 4
Synthesis of (2-methoxyethoxy) naphthalene-1-tetrahydrothiopyranium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-D)
Figure BDA0002217415130000621
5g of intermediate C, 50g of a 15wt% aqueous 4- (2-methoxyethoxy) naphthalene-1-tetrahydrothiopyranium methanesulfonate solution and 60g of dichloromethane were mixed at room temperature and stirred for 30 minutes. The organic layer was taken out, washed with water, and concentrated under reduced pressure. Thereafter, 50g of MIBK was added to the concentrate, which was again concentrated under reduced pressure. Diisopropyl ether was added to the concentrate, from which crystals precipitated. The crystalline precipitate was filtered and dried under vacuum at 50 ℃ to give 6g (yield 96%) of 4- (2-methoxyethoxy) naphthalene-1-tetrahydrothiopyranium bis (cyclohexanesulfonyl) (methanesulfonyl) methane (Q-D) as a white solid of the objective compound.
Is divided by spectrumAnd (4) separating the target compound. NMR spectrum, DMSO-d 6 In (1) 1 H-NMR is shown in FIG. 4. In that 1 In H-NMR analysis, traces of residual solvents (MIBK, diisopropyl ether) and water were observed.
IR(D-ATR):
2937,2859,1592,1571,1509,1462,1446,1431,1377,1325,1291,1277,1249,1220,1209,1134,1105,1083,1014,991,967,957,853,819,782,771,610,545,527,518cm -1
MALDI-TOFMS:
N is [ M ] + ]303 (corresponds to C) 18 H 23 O 2 S + )
Negative [ M ] - ]385 (corresponds to C) 14 H 25 O 6 S 3 - )
Examples 1 to 5
Synthesis of 4-tert-butylnaphthalene-1-tetrahydrothiophenium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-E)
Figure BDA0002217415130000631
5g of intermediate C, 110g of 6wt% aqueous 4-tert-butylnaphthalene-1-tetrahydrothiophenium methylsulfate and 50g of dichloromethane were mixed at room temperature and stirred for 30 minutes. The organic layer was taken out, washed with water, and concentrated under reduced pressure. The concentrate was purified by silica gel chromatography. PGMEA was added for crystallization, then diisopropyl ether was added and stirred for 1 hour. The crystalline precipitate was filtered and dried under vacuum at 50 ℃ to obtain 3g (yield 50%) of 4-tert-butylnaphthalene-1-tetrahydrothiophenium bis (cyclohexanesulfonyl) (methylsulfonyl) methane (Q-E) as a white solid of the objective compound.
The target compound is analyzed by spectroscopy. NMR spectrum, DMSO-d 6 In (1) 1 H-NMR is shown in FIG. 5. In that 1 In H-NMR analysis, traces of residual solvent (PGMEA) and water were observed.
IR(D-ATR):
2931,2851,1449,1291,1272,1255,1134,1110,1011,992,962,945,754,663,607,581,557,545,527,517cm -1
MALDI-TOFMS:
N is [ M ] + ]221 (corresponds to C) 14 H 21 S + )
Negative [ M ] - ]385 (corresponds to C) 14 H 25 O 6 S 3 - )
[2] Synthesis of polymers
Synthesis example 1
Synthesis of Polymer P1
19g of 1-ethylcyclopentyl methacrylate, 17g of 2-oxytetrahydrofuran-3-yl methacrylate, 0.48g of dimethyl 2,2' -azobis (2-methylpropionate) (V-601 from Wako Pure Chemical Corp.), 0.41g of 2-mercaptoethanol, and 50g of methyl ethyl ketone were added to a funnel under nitrogen to form a monomer/initiator solution. To the flask was added 23g of methyl ethyl ketone under nitrogen and heated to 80 ℃ with stirring. The monomer/initiator solution was added dropwise to the flask over 4 hours with stirring. After completion of the dropwise addition, the polymerization solution was continuously stirred for 2 hours while keeping the temperature thereof at 80 ℃. After the polymerization solution was cooled to room temperature, it was added dropwise to 640g of methanol with vigorous stirring. The precipitate was collected by filtration, washed twice with 240g of methanol and dried under vacuum at 50 ℃ for 20 hours to give polymer P1 in the form of a white powder. The amount was 36 g, and the yield was 90%. In GPC analysis, the Mw of the polymer P1 was 8755 and the Mw/Mn was 1.94.
Figure BDA0002217415130000641
Synthesis examples 2 to 12
Synthesis of polymers P2 to P12
Polymers composed of the units in table 1 were synthesized by the same method as in synthesis example 1, except that the type and amount of the monomers were changed.
Table 1 shows the proportions (molar ratios) of units incorporated into such polymers, and tables 2-4 show the structures of the repeating units.
TABLE 1
Figure BDA0002217415130000651
TABLE 2
Figure BDA0002217415130000661
TABLE 3
Figure BDA0002217415130000662
TABLE 4
Figure BDA0002217415130000671
[3] Preparation of resist composition
Examples 2-1 to 2-16 and comparative examples 1-1 to 1-8
A quencher (Q-A-Q-E of the present invention, comparative Q-1-Q-4), a polymer (P1-P12), a photoacid generator (PAG-X) and a surfactant (SF-1) were dissolved in an organic solvent containing 0.01wt% of surfactant A by following the formulations shown in tables 5 and 6, and filtered through a filter having a pore size of 0.2 μm
Figure BDA0002217415130000672
The filter is used to prepare the resist composition in solution.
The solvents, photoacid generator PAG-X, surfactant SF-1, surfactant A, and comparative quencher Q-1-Q-4 in tables 5 and 6 are as follows.
Solvent(s)
PGMEA (propylene glycol monomethyl ether acetate)
GBL (gamma-butyrolactone)
Photoacid generator PAG-X:
triphenylsulfonium 2- (adamantane-1-carbonyloxy) -1, 3-pentafluoropropane-1-sulfonate
Comparison of quenchers
Q-1:2- (4-morpholinyl) ethyl laurate
Q-2: triphenylsulfonium 10-camphorsulfonate
Q-3: triphenylsulfonium salicylate
Q-4: triphenylsulfonium tris (trifluoromethanesulfonyl) methide
Figure BDA0002217415130000681
Alkali-soluble surfactant SF-1:
poly (2, 3, 4-heptafluoro-1-isobutyl-1-butylmethacrylate/9- (2, 2-trifluoro-1-trifluoroethyloxycarbonyl) -4-oxatricyclo [4.2.1.0 3,7 ]-nonan-5-one-2-ylmethacrylate)
Mw=7,700
Mw/Mn=1.82
Figure BDA0002217415130000682
Surfactant a:
3-methyl-3- (2, 2-trifluoroethoxymethyl) oxetane/tetrahydrofuran/2, 2-dimethyl-1, 3-propanediol copolymer (Omnova Solutions, inc.)
Figure BDA0002217415130000683
a (b + b')
Mw=1500
TABLE 5
Figure BDA0002217415130000691
TABLE 6
Figure BDA0002217415130000701
[4] Evaluation of resist composition: arF lithography test #1
Examples 3-1 to 3-10 and comparative examples 2-1 to 2-4
An antireflective coating solution (ARC 29A, nissan Chemical corp.) was coated on a silicon substrate and baked at 200 ℃ for 60 seconds to form an antireflective coating 100nm thick. Each of the resist compositions (R-01 to R-08, R-15, R-16, CR-01 to CR-04) was spin-coated on a substrate, and pre-baked on a hot plate at 90 ℃ for 60 seconds to form a resist film 90nm thick. The resist film was exposed by ArF immersion lithography using an ArF excimer laser scanner (NSR-S610C, from Nikon corp., NA1.30, quadrupole, 6% half tone phase shift mask). Water was used as the impregnation liquid. After exposure, the resist film was baked (PEB) at the temperature shown in table 6 for 60 seconds and developed in 2.38wt% tmah aqueous solution for 60 seconds, forming a 40nm 1 line and space (L/S) pattern.
Evaluation of sensitivity
The L/S pattern was observed under an electron microscope. The optimum dose (Eop) is a dose (mJ/cm) providing a pattern with a line width of 40nm 2 )。
Evaluation of LWR
The roughness of the line sidewall was evaluated for the L/S pattern formed by exposure at the optimum dose. The interval width was measured at 30 points spaced longitudinally, from which a 3-fold value (3 σ) of standard deviation (σ) was determined and reported as LWR. A smaller LWR value indicates that the line pattern has little or no fluctuation and is more satisfactory.
Evaluation of MEF
In the dimensions on the wafer at the optimum dose, exposures were made at 1nm increments through a mask with a fixed pitch of 80nm and varying line widths of 38-42 nm. The dimensions of the pattern transferred to the wafer are measured. With respect to line width, the dimensions of the transferred pattern are plotted against the mask design dimensions, and the gradient is calculated by linear approximation and reported as MEF. A smaller MEF value indicates a reduced and better effect of errors in mask pattern finishing.
Evaluation of slump Limit (collapselimit)
In this method, as the exposure dose increases, the line size decreases. The minimum size (nm) of the resolvable line without collapse was determined and reported as the collapse limit. A smaller value indicates greater collapse resistance, and is preferable.
The results are shown in Table 7.
TABLE 7
Figure BDA0002217415130000721
As is apparent from the data of table 7, the resist compositions within the scope of the present invention form positive patterns with improved LWR, MEF and collapse resistance by alkaline development. Therefore, they are most suitable as ArF immersion lithography materials.
It should be noted that no pattern was observed in comparative examples 2 to 4. This indicates that Q-4 functions as an acid generator although it functions as a quencher. Although Q-4 has a methide anion structure similar to the onium salt of the present invention, it produces an acid with extremely high acidity due to the influence of fluorine atoms contained therein. Thus, the effect of Q-4 on the lithographic performance is quite different from that of the onium salts of the present invention.
[5] Evaluation of resist composition: arF lithography test #2
Examples 4-1 to 4-10 and comparative examples 3-1 to 3-4
On a silicon wafer, a spin-on carbon film ODL-50 (Shin-Etsu Chemical co., ltd.) having a carbon content of 80wt% was deposited to a thickness of 200nm, and a silicon-containing spin-on hard mask SHB-a940 having a silicon content of 43wt% was deposited thereon to a thickness of 35nm. On the substrate for the three-layer process, each resist composition (R-01 to R-08, R-15, R-16, CR-01 to CR-04) was spin-coated and baked on a hot plate at 100 ℃ for 60 seconds to form a resist film 90nm thick. Pattern exposure was performed through a mask with varying exposure dose and focus using an ArF excimer laser immersion lithography scanner NSR-610C (Nikon corp., NA1.30, σ 0.98/0.74, 35 degrees cross-pole opening). After exposure, the resist film was baked (PEB) at the temperature shown in table 7 for 60 seconds, and developed in butyl acetate for 30 seconds.
Evaluation of sensitivity
The mask used herein is a binary mask with a mask design corresponding to a 55nm dot/90 nm pitch pattern (actual mask size is 4 x due to 1/4 image reduction projection exposure). The hole pattern printed on the resist by pattern reversal was observed under an electron microscope. The optimum dose (Eop) is the dose that produces a pore inner diameter of 50nm (mJ/cm) 2 )。
Evaluation of CDU
The hole pattern is generated from an image reversal by organic solvent development. The 3-fold value (3 σ) of the standard deviation (σ) was calculated and reported as CDU by measuring the diameter of 50 wells by observation under tdem S-9380 (High-Technologies corp.). Smaller CDU values are better.
Evaluation of MEF
In the dimension on the wafer at the optimum dose, exposure is performed through a mask having a fixed pitch and a varying spot size. The dimensions of the hole pattern transferred to the wafer are measured. With respect to aperture size, the size of the transferred pattern is plotted against the mask design size, and the gradient is calculated by linear approximation and reported as MEF. A smaller MEF value indicates a reduced and better effect of errors in mask pattern finishing.
Evaluation of DOF
The focus range that enables optimal dose-resolving aperture patterns is determined and reported as depth of focus (DOF, nm). The larger the DOF value is, the wider the allowable margin representing the focus offset is, the better.
The results are shown in Table 8.
TABLE 8
Figure BDA0002217415130000741
As is apparent from the data of table 8, resist compositions within the scope of the present invention form negative patterns with improved CDU, MEF and DOF by organic solvent development. They are therefore most suitable for lithographic micropatterning. It should be noted that no pattern was observed in comparative examples 3 to 4. This indicates that Q-4 functions as an acid generator although it functions as a quencher.
[6] EB lithography test: evaluation of L/S Pattern
Examples 5-1 to 5-6 and comparative examples 4-1 to 4-4
The silicon substrate was coated with a 60nm thick anti-reflective coating (DUV-62, nissan Chemical Corp.). Each of the resist compositions (R-09 to R-14, CR-05 to CR-08) was spin-coated on a substrate and pre-baked on a hot plate at 105 ℃ for 60 seconds to form a resist film 50nm thick. The resist film was exposed to EB using an EB lithography system ELS-F125 (eionix co., ltd., acceleration voltage 125 kV). The resist film was baked (PEB) on a hot plate at the temperature shown in Table 8 for 60 seconds, and developed in 2.38wt% aqueous TMAH solution for 30 seconds. The resist film in the exposed area was dissolved in a developer to form a positive resist pattern, which is an L/S pattern having a space width of 45nm and a pitch of 90 nm.
Evaluation of sensitivity
The L/S pattern was observed under an electron microscope. As an indicator of sensitivity, the optimum dose Eop (μ C/cm) for providing L/S patterns with a space width of 45nm and a space distance of 90nm was determined 2 )。
Evaluation of LWR
The L/S pattern formed by the exposure to the optimized dose Eop was observed under a CD-SEM (CG-5000, high-Technologies Corp.). The interval width was measured at 10 points spaced longitudinally, from which a 3-fold value (3 σ) of standard deviation (σ) was determined and reported as LWR. A smaller LWR value indicates a pattern having a smaller roughness and a uniform space width.
Evaluation of shape
The L/S pattern formed by the Eop exposure at the optimum dose was observed. The pattern is rated "OK" when the pattern shape is rectangular and the sidewalls are substantially vertical, or "NG" when the pattern has a tapered shape with a sharp gradual sidewall or top circular shape due to top loss.
The results are shown in Table 9.
TABLE 9
Figure BDA0002217415130000751
As is apparent from table 9, the sensitivity, shape (squareness) and LWR of the resist composition of the invention are improved upon positive pattern formation by EB lithography and alkaline aqueous solution development. In examples 5-1 to 5-6, EB was used for exposure of the resist film. It is well known that similar basic resist properties can be obtained even with short wavelength radiation such as EUV, i.e. EB lithography tests are related to EUV lithography tests. It is therefore estimated that the sensitivity, shape and LWR of the resist composition of the present invention are also improved when processed by EUV lithography. It should be noted that no pattern was observed in comparative examples 4-4, which indicates that Q-4 functions as an acid generator although it functions as a quencher.
Japanese patent application No.2018-183103 is incorporated herein by reference.
While preferred embodiments have been described, many modifications and variations are possible in light of the above teaching. It is, therefore, to be understood that within the scope of the appended claims, the invention may be practiced otherwise than as specifically described.

Claims (8)

1. An onium salt having the formula (1):
Figure FDF0000017763580000011
wherein R is 1 、R 2 And R 3 Each independently being methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butylAlkyl, tert-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.0 2 ,6 ]Decyl, adamantyl, adamantylmethyl, phenyl, naphthyl or anthracenyl, Z + Is a sulfonium cation.
2. A resist composition comprising: (A) an onium salt having the formula (1), (B) an organic solvent, (C) a polymer comprising a repeating unit containing an acid labile group, and (D) a photoacid generator,
Figure FDF0000017763580000012
wherein R is 1 、R 2 And R 3 Each independently of the others is methyl, ethyl, n-propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.0 2 ,6 ]Decyl, adamantyl, adamantylmethyl, phenyl, naphthyl or anthracenyl, Z + Is a sulfonium, iodonium or ammonium cation.
3. The resist composition of claim 2, wherein the repeating unit containing an acid labile group has formula (a 1) or (a 2):
Figure FDF0000017763580000021
wherein R is A Each independently is hydrogen, fluoro, methyl or trifluoromethyl; z A Is a single bond, phenylene, naphthylene or (main chain) -C (= O) -O-Z A1 -,Z A1 Is C optionally containing a hydroxyl group, an ether bond, an ester bond or a lactone ring 1 -C 10 A linear, branched or cyclic alkanediyl group, or is phenylene or naphthylene; z B Is a single bond or (main chain) -C (= O) -O-; x A And X B Each independently an acid labile group; r B Is C optionally containing hetero atoms 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group; n is an integer of 0 to 4.
4. The resist composition of claim 2, wherein the polymer further comprises a repeating unit having formula (b 1) or (b 2):
Figure FDF0000017763580000022
wherein R is A Each independently of the other being hydrogen, fluorine, methyl or trifluoromethyl, Y A Is hydrogen or contains at least one polar group selected from the following structures: hydroxyl group, cyano group, carbonyl group, carboxyl group, ether bond, ester bond, sulfonate ester bond, carbonate ester bond, lactone ring, sultone ring and carboxylic anhydride, and m is 1 or 2.
5. The resist composition of claim 2, wherein photoacid generator (D) has formula (2) or (3):
Figure FDF0000017763580000023
wherein R is 101 、R 102 And R 103 Each independently C optionally containing a heteroatom 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group, R 101 、R 102 And R 103 Any two of which are optionally bonded together to form a ring with the sulfur atom to which they are attached, X - Is an anion selected from the following formulae (2A) to (2D):
R fa -CF 2 -SO 3 - (2A)
Figure FDF0000017763580000031
wherein R is fa 、R fb1 、R fb2 、R fc1 、R fc2 And R fc3 Each independently being fluorine or C optionally containing hetero atoms 1 -C 40 A linear, branched or cyclic monovalent hydrocarbon group; or a pair of R fb1 And R fb2 Or R fc1 And R fc2 Optionally bonded together with the carbon atom to which they are attached and optionally intervening atoms form a ring, R fd Is C optionally containing hetero atoms 1 -C 40 A straight-chain, branched-chain or cyclic monovalent hydrocarbon group,
Figure FDF0000017763580000032
wherein R is 201 And R 202 Each independently C optionally containing a heteroatom 1 -C 20 A linear, branched or cyclic monovalent hydrocarbon group; r 203 Is C optionally containing hetero atoms 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group, R 201 、R 202 And R 203 Any two of which are optionally bonded together to form a ring with the sulfur atom to which they are attached, L 3 Is a divalent linking group, L 4 Is a single bond or C optionally containing a heteroatom 1 -C 20 A linear, branched or cyclic divalent hydrocarbon group.
6. The resist composition of claim 2, further comprising: (E) A surfactant insoluble in water but soluble in an alkaline developer, and/or a surfactant insoluble in water and an alkaline developer.
7. The resist composition of claim 2, further comprising: (F) a nitrogen-containing compound.
8. A pattern forming method comprising the steps of: applying the resist composition of claim 2 onto a substrate to form a resist film, exposing the resist film to KrF excimer laser light, arF excimer laser light, EB or EUV, and developing the exposed resist film in a developer.
CN201910920497.1A 2018-09-28 2019-09-27 Onium salt, resist composition and pattern forming method Active CN110963952B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018183103 2018-09-28
JP2018-183103 2018-09-28

Publications (2)

Publication Number Publication Date
CN110963952A CN110963952A (en) 2020-04-07
CN110963952B true CN110963952B (en) 2022-10-21

Family

ID=69947760

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910920497.1A Active CN110963952B (en) 2018-09-28 2019-09-27 Onium salt, resist composition and pattern forming method

Country Status (5)

Country Link
US (1) US20200102271A1 (en)
JP (1) JP7205419B2 (en)
KR (1) KR102422094B1 (en)
CN (1) CN110963952B (en)
TW (1) TWI733197B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022008172A (en) * 2020-06-25 2022-01-13 信越化学工業株式会社 Chemically amplified resist material and patterning method
JP2022081416A (en) * 2020-11-19 2022-05-31 信越化学工業株式会社 Resist composition, and pattern-forming method
TW202340287A (en) * 2022-03-16 2023-10-16 日商Jsr股份有限公司 Photosensitive resin composition, method for forming resist pattern film, and method for producing plated shaped article

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3337636A (en) * 1963-11-18 1967-08-22 American Cyanamid Co Trisulfonylmethanes
EP0168749A1 (en) * 1984-07-17 1986-01-22 Agfa-Gevaert AG Polymerisable compounds with an ionic structure
CN102186815A (en) * 2008-10-20 2011-09-14 巴斯夫欧洲公司 Sulfonium derivatives and the use therof as latent acids
JP2013250431A (en) * 2012-05-31 2013-12-12 Shin Etsu Chem Co Ltd Resist composition and method for forming pattern

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4226803B2 (en) 2000-08-08 2009-02-18 富士フイルム株式会社 Positive photosensitive composition
JP4448705B2 (en) * 2004-02-05 2010-04-14 富士フイルム株式会社 Photosensitive composition and pattern forming method using the photosensitive composition
KR101143575B1 (en) * 2010-01-29 2012-05-09 강원대학교산학협력단 A composition for diagnosing and treating cancer comprising nadph oxidase complex protein
CN106030417B (en) * 2014-02-21 2020-02-28 东京毅力科创株式会社 Photosensitizing chemically amplified resist material, method for forming pattern using same, semiconductor device, mask for lithography, and method for manufacturing template for nanoimprinting
US10295904B2 (en) * 2016-06-07 2019-05-21 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3337636A (en) * 1963-11-18 1967-08-22 American Cyanamid Co Trisulfonylmethanes
EP0168749A1 (en) * 1984-07-17 1986-01-22 Agfa-Gevaert AG Polymerisable compounds with an ionic structure
CN102186815A (en) * 2008-10-20 2011-09-14 巴斯夫欧洲公司 Sulfonium derivatives and the use therof as latent acids
JP2013250431A (en) * 2012-05-31 2013-12-12 Shin Etsu Chem Co Ltd Resist composition and method for forming pattern

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Dialkylcarbamoyl(diaryl)iodanes;Elvira Kotali 等;《Journal of the Chemical Society,Perkin Transactions 1:Organic and Bio-Organic Chemistry》;19870101;第12卷;第2761页化合物13和第2762页右栏化合物13的制备方法 *
Synthesis of tetraalkylammonium salts of triacylmethanes by the ion-pairs extraction method;NEPLYYUEV,V.M.等;《Ukrainskii Khimicheski Zhurnal (Russian Edition)》;19811231;第47卷;第298-299页 *

Also Published As

Publication number Publication date
US20200102271A1 (en) 2020-04-02
KR20200036793A (en) 2020-04-07
TW202019862A (en) 2020-06-01
KR102422094B1 (en) 2022-07-15
TWI733197B (en) 2021-07-11
JP2020055797A (en) 2020-04-09
JP7205419B2 (en) 2023-01-17
CN110963952A (en) 2020-04-07

Similar Documents

Publication Publication Date Title
US10248022B2 (en) Sulfonium compound, making method, resist composition, and pattern forming process
US10120278B2 (en) Carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
US9366958B2 (en) Photoacid generator, chemically amplified resist composition, and patterning process
JP6125468B2 (en) Photoacid generator, chemically amplified resist material, and pattern forming method
CN107793337B (en) Sulfonium compound, resist composition and patterning method
CN109422672B (en) Sulfonium compound, resist composition and patterning method
CN109307985B (en) Sulfonium salt, polymer, resist composition and patterning method
JP6848776B2 (en) Sulfonium compound, resist composition, and pattern forming method
US9989847B2 (en) Onium salt compound, resist composition, and pattern forming process
JP7172975B2 (en) Novel Onium Salt, Chemically Amplified Resist Composition, and Pattern Forming Method
CN111793054B (en) Sulfonium compound, chemically amplified resist composition, and pattern forming method
CN110963952B (en) Onium salt, resist composition and pattern forming method
CN111187235B (en) Novel salt compound, chemically amplified resist composition, and pattern forming method
CN111087334A (en) Onium salt, chemically amplified resist composition and patterning method
KR102654036B1 (en) Sulfonium salt, chemically amplified resist composition, and patterning process
TWI837837B (en) Chemically amplified resist composition and patterning process
KR20220068929A (en) Resist composition and pattern forming process
TW202321411A (en) Chemically amplified resist composition and patterning process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant