CN110874016A - Photoresist composition - Google Patents

Photoresist composition Download PDF

Info

Publication number
CN110874016A
CN110874016A CN201910812144.XA CN201910812144A CN110874016A CN 110874016 A CN110874016 A CN 110874016A CN 201910812144 A CN201910812144 A CN 201910812144A CN 110874016 A CN110874016 A CN 110874016A
Authority
CN
China
Prior art keywords
photoresist
layer
solvent
group
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910812144.XA
Other languages
Chinese (zh)
Inventor
訾安仁
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110874016A publication Critical patent/CN110874016A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

A photoresist composition includes a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃.

Description

Photoresist composition
Technical Field
One embodiment of the present disclosure relates to a photoresist composition.
Background
As consumer devices become smaller and smaller in response to consumer demand, the individual components of these devices must also be reduced in size. Semiconductor devices constituting main components of devices such as mobile phones, computers, tablets, and the like are also required to be smaller, and devices (e.g., transistors, resistors, capacitors, and the like) in the semiconductor devices are also required to be downsized.
One possible technique used in the fabrication of semiconductor devices is the use of photolithographic materials. These materials are applied to the surface of the layer to be patterned and then exposed to patterning energy. This exposure changes the chemical and physical properties of the exposed areas of the photosensitive material. This modified situation, along with the lack of modification in the unexposed photosensitive material areas, can be used to remove one area without removing another area, or vice versa.
However, as the size of individual devices decreases, the process window for photolithographic processing becomes more compact. Accordingly, advances in the field of lithographic processing are necessary to maintain the ability to shrink devices, and further improvements are needed to meet the ideal design criteria to enable the development of smaller and smaller components.
As the semiconductor industry has progressed into the nanotechnology process node in pursuit of higher device density, higher performance, and lower cost, challenges exist in reducing semiconductor feature sizes.
Disclosure of Invention
One embodiment of the present disclosure provides a photoresist composition, comprising: a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent, wherein the reinforcing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃.
Drawings
An embodiment of the disclosure is best understood from the following detailed description when read with the accompanying drawing figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustrative purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIG. 1 illustrates a process flow diagram for fabricating a semiconductor device, in accordance with various embodiments of the present disclosure;
FIG. 2 illustrates a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIGS. 3A and 3B illustrate a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIG. 4 illustrates the effect of additives on photoresists, according to some embodiments of the disclosure;
FIG. 5 illustrates a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIG. 6 illustrates a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIG. 7 illustrates a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIG. 8 illustrates a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIGS. 9A and 9B illustrate a stage of a process of sequential operations according to one embodiment of the present disclosure;
FIG. 10 illustrates a stage of processing of sequential operations according to one embodiment of the present disclosure;
FIG. 11 illustrates a stage of processing of sequential operations according to one embodiment of the present disclosure;
FIG. 12 illustrates a stage of processing of sequential operations according to one embodiment of the present disclosure;
FIGS. 13A and 13B illustrate stages of a sequential operation in accordance with various embodiments of the present disclosure;
FIGS. 14A and 14B illustrate stages of a sequential operation in accordance with various embodiments of the present disclosure;
FIGS. 15A and 15B illustrate stages of a sequential operation in accordance with various embodiments of the present disclosure;
16A and 16B illustrate stages of a sequential operation in accordance with various embodiments of the present disclosure;
FIGS. 17A and 17B illustrate stages of a sequential operation in accordance with various embodiments of the present disclosure.
[ notation ] to show
100 process flow chart
S110-S150 operations
10 base plate
15 photo resist layer
30 light shield
35 opaque pattern
40 light shield substrate
45 radiation
50 exposure area
52 unexposed region
55 pattern
55' pattern
55' pattern
57 developing agent
60 layer to be patterned
62 distributor
65 reflective light shield
70 low thermal expansion glass substrate
75 reflective multilayer
80 coating layer
85 absorbent layer
90 back side conductive layer
95 extreme ultraviolet radiation
Part of 97 extreme ultraviolet radiation
200 substrate
210 Tri-layer photoresist
220 upper layer
230 intermediate layer
240 lower layer
250 pattern
250' pattern
250' pattern
250' "pattern
260 device layer
Detailed Description
It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of embodiments of the disclosure. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. They are, of course, merely examples and are not intended to be limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on the processing conditions and/or desired characteristics of the device. Furthermore, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be included between the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.
Furthermore, spatially relative terms, such as "lower", "below", "lower", "above", "upper", and the like, may be used herein for ease of description to describe one element or feature's relationship to another element or feature as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. Furthermore, the term "made of" may mean "including" or "consisting of".
FIG. 1 illustrates a process flow diagram 100 for fabricating a semiconductor device, in accordance with various embodiments of the present disclosure. In some embodiments, in operation S110, a photoresist is coated on the surface of the layer to be patterned or the substrate 10 to form a photoresist layer 15, as shown in fig. 2. In some embodiments, the photoresist layer 15 is then subjected to a first baking operation S120 to volatilize the solvent in the photoresist composition. The photoresist layer 15 is baked at a temperature and for a time sufficient to dry the photoresist layer 15. In some embodiments, the photoresist layer 15 is heated to about 40 ℃ to 120 ℃ for about 10 seconds to about 10 minutes.
After the first baking operation S120, the photoresist layer 15 is selectively exposed to actinic radiation 45 (see fig. 3A and 3B) in operation S130. In some embodiments, photoresist layer 15 is selectively exposed to ultraviolet radiation. In some embodiments, the ultraviolet radiation is deep ultraviolet (deep ultraviolet) radiation. In some embodiments, the ultraviolet radiation is Extreme Ultraviolet (EUV) radiation. In some embodiments, the radiation is an electron beam.
As shown in fig. 3A, in some embodiments, the exposure radiation 45 passes through the reticle 30 before illuminating the photoresist layer 15. In some embodiments, mask 30 has a pattern to be copied to photoresist layer 15. In some embodiments, the pattern is formed by an opaque pattern 35 on the mask substrate 40. The opaque pattern 35 may be formed of a material opaque to ultraviolet radiation, such as chrome, and the mask substrate 40 is formed of a material transparent to ultraviolet radiation, such as fused silica.
In some embodiments, the photoresist layer 15 is selectively exposed using extreme ultraviolet lithography to form exposed regions 50 and non-exposed regions 52. In extreme ultraviolet lithography operations, a reflective mask 65 is used to form a patterned exposure, as shown in FIG. 3B. The reflective mask 65 includes a low thermal expansion glass substrate 70 having a reflective multilayer 75 formed of Si and Mo on the low thermal expansion glass substrate 70. The cover layer 80 and the absorber layer 85 are formed on the reflective multilayer 75. The backside conductive layer 90 is formed on the backside of the low thermal expansion substrate 70. In euv lithography, euv radiation 95 is directed toward the reflective mask 65 at an angle of incidence of about 6 °. A portion 97 of the euv radiation is reflected by the Si/Mo reflective multilayer 75 to the substrate 10 with the photoresist coating, while the portion of the euv radiation incident on the absorber layer 85 is absorbed by the mask. In some embodiments, additional optics (including mirrors) are located between the reflective mask 65 and the substrate 10 with the photoresist coating.
The areas of the photoresist layer exposed to the radiation 45 chemically react with respect to the areas of the photoresist layer not exposed to the radiation 45, thereby changing their solubility in a subsequently applied developer. In some embodiments, the cross-linking reaction occurs in the areas of the photoresist layer exposed to radiation 45.
Next, in operation S140, the photoresist layer 15 is baked after exposure. In some embodiments, the photoresist layer 15 is heated to about 50 ℃ to 160 ℃ for about 20 seconds to about 120 seconds. The post-exposure bake may be used to assist in the generation, dispersion, and reaction of acid/base/radicals generated by the impingement of radiation 45/97 on photoresist layer 15 during exposure. This assistance helps to create or enhance a chemical reaction that creates a chemical difference between the exposed 50 and unexposed 52 regions in the photoresist layer. These chemical differences also cause solubility differences between exposed regions 50 and unexposed regions 52.
As shown in fig. 4, according to one embodiment of the present disclosure, the photoresist is an organometallic photoresist comprising a metal core and an organic linking group (or ligand). The addition of the enhancement additive to the organometallic photoresist allows for greater amounts of water (H-OH) to enter between the organometallic compounds, thereby facilitating separation during development of the photoresist. In some embodiments, the source of water is ambient humidity, the photoresist itself, or from a processing operation, such as development or rinsing. Therefore, the enhancing additive increases the sensitivity of the photoresist and improves the resolution (resolution) of the photoresist. The enhancing additive comprises-OH groups and/or-COOH groups, which are capable of adsorbing water.
The metal core in the organometallic photoresist increases the EUV photon absorption of the EUV photoresist. In some embodiments, the organometallic compound particles increase the etch selectivity of the photoresist compared to a photoresist without the organometallic compound particles. On the other hand, the organometallic particles may aggregate to form larger particles, which may affect the resolution of the photoresist pattern after exposure and after development. It is desirable to separate aggregates of organometallic compounds that improve the resolution of the developed photoresist.
In operation S150, the selectively exposed photoresist layer is developed by applying a developer to the selectively exposed photoresist layer. As shown in fig. 5, the dispenser 62 supplies the developer 57 to the photoresist layer 15. In some embodiments, the developer 57 removes the exposed portions 50 of the photoresist layer 15, thereby forming a pattern 55 of openings in the photoresist layer 15 to expose the substrate 10, as shown in fig. 6.
In some embodiments, the pattern 55 of openings of the photoresist layer 15 extends into the layer or substrate 10 to be patterned to form a pattern 55' of openings in the substrate 10 to transfer the pattern of photoresist layer 15 to the substrate 10, as shown in fig. 7. The pattern is extended into the substrate by etching using one or more suitable etchants. In some embodiments, the unexposed photoresist layer 15 is at least partially removed during the etching operation. In other embodiments, after etching the substrate 10, the unexposed photoresist layer 15 is removed by using a suitable photoresist stripping solvent or by a photoresist ashing operation.
In some embodiments, the substrate 10 comprises a single crystal semiconductor layer located at least on a surface portion of the substrate 10. The substrate 10 may comprise a single crystal semiconductor material such as, but not limited to, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, and InP. In some embodiments, the substrate 10 is a silicon layer of a silicon-on-insulator (SOI) substrate. In some embodiments, the substrate 10 is made of Si crystal.
The substrate 10 may include one or more buffer layers (not shown) located at the surface region. The buffer layer may be used to gradually change the lattice constant from that of the substrate to that of the subsequently formed source/drain regions. The buffer layer may be formed of an epitaxially grown single crystal semiconductor material such as, but not limited to, Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, and InP. In one embodiment, a silicon germanium (SiGe) buffer layer is epitaxially grown on the silicon substrate 10. The germanium concentration of the SiGe buffer layer can be increased from 30 atomic% of the bottom most buffer layer to 70 atomic% of the top most buffer layer.
In some embodiments, the substrate 10 comprises at least one metal, metal alloy and has the formula MXaWherein M is a metal, X is N, S, Se, O, Si, and a is from about 0.4 to about 2.5. In some embodiments, the substrate 10 comprises titanium, aluminum, cobalt, ruthenium, titanium nitride, tungsten nitride, tantalum nitride, and combinations thereof.
In some embodiments, the substrate 10 comprises a dielectric material having the formula MX with at least siliconbWherein M is a metal or Si, X is N or O, and b is from about 0.4 to about 2.5. In some embodiments, the substrate 10 comprises silicon dioxide, silicon nitride, aluminum oxide, hafnium oxide, lanthanum oxide, and combinations thereof.
The photoresist layer 15 is a photosensitive layer that is patterned by exposure to actinic radiation. Generally, the chemistry of the areas of the photoresist impinged by the incident radiation will vary depending on the type of photoresist used. The photoresist layer 15 is a positive photoresist or a negative photoresist. Positive-working photoresists are those in which the photoresist material becomes soluble in the developer upon exposure to radiation (e.g., UV light), while the unexposed (or less exposed) areas of the photoresist are insoluble in the developer. Negative-working photoresists, on the other hand, refer to photoresist materials that become insoluble in developer upon exposure to radiation, while unexposed (or less exposed) areas of the photoresist are soluble in developer. In negative-tone photoresists, the regions that become insoluble upon exposure to radiation may be due to a crosslinking reaction caused by exposure to radiation.
The photoresist may be positive or negative depending on the type of developer used to develop the photoresist. For example, when the developer is an aqueous developer, such as a tetramethylammonium hydroxide (TMAH) solution, some positive-tone photoresists exhibit a positive-tone pattern (i.e., the developer removes the exposed regions). On the other hand, when the developer is an organic solvent aqueous developer, the same photoresist exhibits a negative pattern (i.e., the developer removes the unexposed regions). In addition, when some negative photoresist is developed by using TMAH solution, the TMAH solution removes the unexposed area of the photoresist, and the exposed area of the photoresist is cross-linked when exposed to actinic radiation and remains on the substrate after development. In some embodiments of the present disclosure, the negative tone photoresist is exposed to actinic radiation. The exposed portion of the negative photoresist undergoes a crosslinking reaction upon exposure to actinic radiation, and upon development, the portion of the photoresist that undergoes the crosslinking reaction upon exposure is removed by the developer, while the unexposed portion of the photoresist remains on the substrate.
In one embodiment, the photoresist layer 15 is a negative tone photoresist that undergoes a crosslinking reaction upon exposure to actinic radiation.
In one embodiment of the present disclosure, a photoresist composition includes a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent.
In some embodiments, the enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In some embodiments, since the second solvent has a boiling point greater than 150 ℃, the second solvent remains in the photoresist composition after the baking operation below 150 ℃, thereby improving the uniformity of the photoresist layer. In some embodiments, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000071
Figure BDA0002185369380000081
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, C1-C12 aralkyl group, or the like.
In some embodiments, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000082
wherein n is the number of repeat units in the oligomer or polymer, R, R1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl group, C1-C25 aryl group, C1-C25 aralkyl group, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In some embodiments, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether, di,
Figure BDA0002185369380000083
Figure BDA0002185369380000091
In some embodiments, the concentration of the second solvent having a boiling point greater than 150 ℃ is from about 3 wt.% to about 100 wt.%, based on the total weight of the second solvent and the first solvent. In some embodiments, the concentration range of the second solvent improves the uniformity of the photoresist layer because the second solvent remains in the photoresist composition after the baking operation below 150 ℃.
In some embodiments, the concentration of the ionic surfactant or the non-ionic surfactant is 10ppm to 1,000ppm based on the total weight of the ionic surfactant or the non-ionic surfactant and the first solvent. The surfactant in the above concentration range suppresses the aggregation of the photoresist, thereby reducing the exposure energy required for sufficiently exposing the photoresist and reducing wafer defects.
In some embodiments, the organometallic compound includes metal oxide nanoparticles and one or more organic ligands. In some embodiments, the organometallic compound comprises one or more metal oxide nanoparticles selected from the group consisting of titanium dioxide, zinc oxide, zirconium dioxide, nickel oxide, cobalt oxide, manganese oxide, copper oxide, iron oxide, strontium titanate, tungsten oxide, vanadium oxide, chromium oxide, tin oxide, hafnium oxide, indium oxide, cadmium oxide, molybdenum oxide, tantalum oxide, niobium oxide, aluminum oxide, and combinations thereof. Herein, nanoparticles refer to particles having an average particle diameter of about 1nm to about 20 nm. In some embodiments, the metal oxide nanoparticles have an average particle size of about 2nm to about 5 nm. In some embodiments, the metal oxide nanoparticles are present in the photoresist composition in an amount of about 1 wt.% to about 15 wt.%, based on the weight of the first solvent. In some embodiments, the metal oxide nanoparticles are present in the photoresist composition in an amount of about 5 wt.% to about 10 wt.%, based on the weight of the first solvent. If the metal oxide nanoparticles are less than 1 wt.%, the photoresist coating will be too thin. If the concentration of the metal oxide nanoparticles is greater than about 15 wt.%, the photoresist composition will be too viscous and it will be difficult to provide a photoresist coating of uniform thickness on the substrate.
In some embodiments, the metal oxide nanoparticles are complexed to ligands. In some embodiments, the ligand is a carboxylic acid ligand or a sulfonic acid ligand. For example, in some embodiments, the zirconium oxide nanoparticles or hafnium oxide nanoparticles are complexed with methacrylic acid to form hafnium methacrylate (HfMAA) or zirconium methacrylate (ZrMAA). In some embodiments, the metal oxide nanoparticles are complexed to ligands having aliphatic or aromatic groups. The aliphatic or aromatic groups may be unbranched or cyclic or acyclic saturated pendant (pendant group) branches having 1-9 carbons, including alkyl, alkenyl, and phenyl groups. The branched group may be further substituted with oxygen or halogen.
In some embodiments, the metal oxide/ligand complex is formed from a cluster of metal cores comprising a metal with high EUV absorption, e.g., Cs, Ba, La, Ce, In, Sn, Ag, or Sb, combined with oxygen and/or nitrogen to form a cluster of 1 to 12 metal cores. Clusters of metal cores are complexed to ligands having aliphatic or aromatic groups. The aliphatic or aromatic groups may be unbranched or cyclic or acyclic saturated pendant side chains having 1-9 carbons, including alkyl, alkenyl, and phenyl. In some embodiments, the branched group may be further substituted with oxygen or halogen.
In some embodiments, the photoresist composition includes about 0.1 wt.% to about 20 wt.% of the ligand based on the total weight of the photoresist composition. In some embodiments, the photoresist includes about 1 wt.% to about 10 wt.% of the ligand, based on the total weight of the photoresist composition. In some embodiments, the ligand concentration is about 10 wt.% to about 40 wt.%, based on the total weight of the metal oxide nanoparticles and the ligand. If the ligand is less than about 10 wt.%, the organometallic photoresist does not function well. If the ligand is higher than about 40 wt.%, it is difficult to form a photoresist layer. In some embodiments, the ligand is HfMAA or ZrMAA dissolved in a coating solvent such as Propylene Glycol Methyl Ether Acetate (PGMEA) at a concentration of about 5 wt.% to about 10 wt.%.
In some embodiments, the polymer resin, photoactive compounds (PACs), and any required additives or other agents are added to the solvent prior to applying the photoresist to the substrate. After addition, the mixture is mixed so that the entire photoresist has a uniform composition, thereby ensuring that there are no defects caused by uneven mixing or uneven composition of the photoresist. After mixing, the photoresist may be stored or used immediately prior to use.
In some embodiments, the first solvent is selected from one or more of the following: propylene Glycol Methyl Ether Acetate (PGMEA), Propylene Glycol Monomethyl Ether (PGME), 1-ethoxy-2-propanol (1-ethoxy-2-propanol, PGEE), γ -butyrolactone (GBL), Cyclohexanone (CHN), Ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, Dimethylformamide (DMF), Isopropanol (IPA), Tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (n-butyl acetate, nBA), and 2-heptanone (MAK).
In some embodiments, the photoresist composition further includes water in an amount of 10ppm to 250ppm based on the total composition of the water, the enhancing additive and the first solvent.
In some embodiments, the photoresist composition includes a polymer resin and one or more photoactive compounds (PACs). In some embodiments, the polymer resin includes a hydrocarbon structure (e.g., an alicyclic hydrocarbon structure that includes one or more groups that will subsequently decompose (e.g., acid labile groups) or react when mixed with an acid, a base, or a radical generated by a photoactive compound (as described further below).
In some embodiments, specific structures of repeating units for hydrocarbon structures include one or more of methyl acrylate, ethyl acrylate, n-propyl acrylate, isopropyl acrylate, n-butyl acrylate, isobutyl acrylate, t-butyl acrylate, n-hexyl acrylate, 2-ethylhexyl acrylate, acetoxyethyl acrylate, phenyl acrylate, 2-hydroxyethyl acrylate, 2-methoxyethyl acrylate, 2-ethoxyethyl acrylate, 2- (2-methoxyethoxy) ethyl acrylate, cyclohexyl acrylate, benzyl acrylate, 2-alkyl-2-adamantyl (meth) acrylate (2-alkyl-2-adamantyl benzyl) acrylate), dialkyl (1-adamantyl) (meth) acrylate (1-adamantyl) methacrylate, methyl methacrylate, ethyl methacrylate, n-propyl methacrylate, isopropyl methacrylate, n-butyl methacrylate, isobutyl methacrylate, t-butyl methacrylate, n-hexyl methacrylate, 2-ethylhexyl methacrylate, acetoxyethyl methacrylate, 2-ethylhexyl acrylamide, 2-ethoxymethyl acrylamide, 2-butyl methacrylate, 2-vinylacrylamide, 2-ethoxyethyl methacrylate, 2-acrylamide, 2-vinylmethacrylamide, 2-butyl methacrylate, vinylmethacrylamide, vinylunder-vinylmethacrylamide, vinylunder-vinylmethacrylamide, vinylunder-vinylmethacrylamide, vinylunder-vinylacetate, vinylunder-vinylacetate, vinylunder the like, vinylunder the examples of ethylene, vinylunder the like, vinylunder the reaction, vinylunder the addition, vinylunder the reaction.
In some embodiments, the repeating units of the hydrocarbon structure also have a substituted monocyclic or polycyclic hydrocarbon structure, or the monocyclic or polycyclic hydrocarbon structure is a repeating unit, to form an alicyclic hydrocarbon structure. In some embodiments, specific examples of monocyclic structures include bicycloalkanes, tricycloalkanes, tetracycloalkanes, cyclopentanes, cyclohexanes, and the like. In some embodiments, specific examples of polycyclic structures include adamantane, norbornane, isobornane, tricyclodecane, tetracyclododecane, and the like.
In some embodiments where the decomposed group (or called leaving group), or photoactive compound, is subsequently a photoacid generator, the acid labile group is attached to the hydrocarbon structure and thus reacts with the acid/base/radical hydrocarbon structure generated by the photoactive compound upon exposure to light. In some embodiments, the group that is subsequently decomposed is a carboxylic acid group, a fluoroalcohol group, a phenolol group, a sulfonic acid group, a sulfonamide group, a sulfonimide group, an (alkylsulfonyl) (alkylcarbonyl) methylene group, an (alkylsulfonyl) (alkyl-carbonyl) imide group, a bis (alkylcarbonyl) methylene group, a bis (alkylcarbonyl) imide group, a bis (alkylsulfonyl) methylene group, a bis (alkylsulfonyl) imide group, a tris (alkylcarbonyl) methylene group, a tris (alkylsulfonyl) methylene group, combinations thereof, and the like. In some embodiments, specific groups for the fluoroalcohol group include a fluorohydroxyalkyl group, such as hexafluoroisopropanol group. Specific groups for the carboxylic acid group include acrylic group, methacrylic group, and the like.
In some embodiments, the polymeric resin also includes other groups attached to the hydrocarbon structure that help improve various properties of the polymerizable resin. For example, the inclusion of a lactone group in the hydrocarbon structure helps to reduce line edge roughness after photoresist development, thereby helping to reduce the number of defects generated upon development. In some embodiments, the lactone group includes a ring having five to seven members, although any suitable lactone structure may alternatively be used as the lactone group.
In some embodiments, the polymer resin includes groups that can help increase the adhesion of the photoresist layer 15 to underlying structures (e.g., the substrate 10). Polar groups can be used to help increase tack. Suitable polar groups include hydroxy, cyano, and the like, but any suitable polar group may be used.
Optionally, in some embodiments, the polymer resin includes one or more alicyclic hydrocarbon structures that have no groups that will subsequently decompose. In some embodiments, hydrocarbon structures that do not have a group that will subsequently decompose include, for example, 1-adamantyl (meth) acrylate, tricyclodecyl (meth) acrylate, cyclohexyl (meth) acrylate, combinations thereof, and the like.
In addition, some embodiments of the photoresist include one or more photoactive compounds (PAC) which are photoactive components such as photoacid generators, photobase generators, radical generators, and the like, the photoactive compounds may be positive-acting (positive-acting) or negative-acting (negative-acting). in some embodiments where the photoactive compounds are photoacid generators, the photoactive compounds include triazine halides, onium salts, diazonium salts, aromatic diazonium salts, phosphonium salts, sulfonium salts, iodonium salts, imide sulfonates, oxime sulfonates, diazosulfone sulfonates, diazosulfide disulfone, disulfone sulfonate, sulphonamide sulfonates, sulphonyl sulfonates, nitro-2 sulphonyl esters, nitro esters, sulphonyl esters, nitro esters, sulphonyl esters, nitro esters, sulphonyl esters, nitro esters, and the like, diazo esters, and the like, or the following salts of these salts including these salts, sulphonyl esters, diazo esters, sulphonyl esters, or the following salts, sulphonyl.
Specific examples of the photoacid generator include α - (trifluoromethylsulfonyloxy) -bicyclo [2.2.1] hept-5-ene-2, 3-dicarbo-phthalimide (α - (trifluoromethylsulfonyloxy) -bicylo [2.2.1] hepta-5-ene-2, 3-dicarb-o-ximide, MDT), N-hydroxynaphthalimide (N-hydroxy-naphthalimide, DDSN), benzoin tosylate, t-butylphenyl- α - (p-toluenesulfonyloxy) -acetate and t-butyl- α - (p-toluenesulfonyloxy) -acetate, triarylsulfonium and diaryliodoantimonate, hexafluoroarsenate, trifluoromethanesulfonate, iodonium perfluorooctanesulfonic acid (iodonium perfluorooctanesulfonate), N-calcinosulfonyl-naphthalimide (N-calcinosylate), N-trifluoromethylsulfonimide (iodonium-iodonaphthalene sulfonate), bis (iodonium-sulfonimide), bis (iodonium-sulfonate), bis (iodonium-naphthalenesulfonate, bis (iodonium-sulfonate), bis (iodonium-phenamide sulfonate), bis (e-iodonium-sulfonate), bis (iodonium-phenamide sulfonate), bis (e-bis-iodonium-phenyl-bis (iodonium-phenyl-iodonium-bis-iodonium-sulfonimide), bis (e-bis (iodonium-bis (iodonium-phenyl-bis-phenyl-bis-phenyl-iodonium) sulfonate), bis (iodonium-bis (iodonium-iodonium) sulfonate), bis (s, bis (iodonium-bis (e-bis (iodonium) sulfonate), and the like, bis(s) sulfonate), and bis (iodonium bis (.
In some embodiments where the photoactive compound is a free-radical generator, the photoactive compound comprises n-phenylglycine (n-phenylglycine); aromatic ketones (aromatic ketones) including benzophenone, N '-tetramethyl-4, 4' -diaminobenzophenone, N '-tetraethyl-4, 4' -diaminobenzophenone, 4-methoxy-4 '-dimethylaminobenzophenone, 3' -dimethyl-4-methoxybenzophenone, p '-bis (dimethylamino) benzophenone, p' -bis (diethylamino) benzophenone; anthraquinone (anthraquinone), 2-ethyl anthraquinone; naphthoquinone (naphthoquinone); and phenanthraquinone (phenanthraquinone); benzoins (benzoins) including benzoin, benzoin methyl ether, benzoin isopropyl ether, benzoin-n-butyl ether, benzoin-phenyl ether, methyl benzoin, and ethyl benzoin; benzyl (benzyl) derivatives including dibenzyl, benzyldiphenyl disulfide and benzyldimethyl ketal; acridine (acridine) derivatives including 9-phenylacridine and 1, 7-bis (9-acridinyl) heptane; thioxanthones (thioxanthones) including 2-chlorothioxanthone, 2-methylthioxanthone, 2, 4-diethylthioxanthone, 2, 4-dimethylthioxanthone and 2-isopropylthioxanthone; acetophenones (acetophenones) including 1, 1-dichloroacetophenone, p-tert-butyl-dichloroacetophenone, 2-diethoxyacetophenone, 2-dimethoxy-2-phenylacetophenone and 2, 2-dichloro-4-phenoxyacetophenone; 2,4,5-triarylimidazole dimer (2,4,5-triarylimidazole dimer) including 2- (o-chlorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-chlorophenyl) -4, 5-bis (m-methoxyphenyl) imidazole dimer, 2- (o-fluorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2- (p-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2, 4-bis (p-methoxyphenyl) -5-phenylimidazole dimer, 2- (2, 4-dimethoxyphenyl) -4, 5-diphenylimidazole dimer and 2- (p-methylmercaptophenyl) -4, 5-diphenyl imidazole dimers, combinations thereof, and the like.
In some embodiments where the photoactive compound is a photobase generator, the photoactive compound comprises quaternary ammonium dithiocarbamates (quaternary ammonium dithiocarbamates), α aminoketones (α aminoketones), oxime-urethane-containing molecules (oxime-urethane monomers), such as dibenzobenzophenonehexamethylene diurea (dibenzophenoneoxymethyl urea), ammonium tetraorganoborate salts (ammonium tetraoxide salts), N- (2-nitrooxycarbonyl) cyclic amines (N- (2-nitrophenoxycarbonyl) cyclic amines), combinations thereof, and the like.
As known to those having ordinary skill in the art, the compounds listed herein are intended only as illustrative examples of photoactive compounds and are not intended to limit embodiments to the particular listed photoactive compounds described above, but rather any suitable photoactive compound may be used and are all included within the scope of embodiments.
In some embodiments, a cross-linking agent is added to the photoresist. The crosslinking agent reacts with one of the groups of one of the hydrocarbon structures in the polymer resin and also reacts with a second group of the other hydrocarbon structure to crosslink and bond the two hydrocarbon structures together. Such crosslinking and bonding increases the molecular weight of the polymer product of the crosslinking reaction and increases the overall link density of the photoresist. The increase in density and link density helps to improve the patterning of the photoresist.
In some embodiments, the crosslinking agent has the following structure:
Figure BDA0002185369380000151
wherein C is carbon, n is 1-15, A and B independently comprise a hydrogen atom, hydroxyl, halide, aromatic carbocyclic ring, or linear or cyclic alkyl, alkoxy/fluoro, alkyl/fluoroalkoxy chain of carbon number 1 to 12. Each carbon (i.e., C) comprises a and B, a first carbon at the first end of the carbon chain comprises X, and a second carbon at the second end of the carbon chain comprises Y, wherein X and Y independently comprise an amine, thiol, hydroxyl, isopropanolamine or isopropylamine group, except that when n ═ 1, X and Y are bonded to the same carbon.
Specific examples of useful crosslinking agents include the following materials:
Figure BDA0002185369380000161
alternatively, in some embodiments, in addition to adding a crosslinking agent to the photoresist composition, a coupling agent (coupling agent) is also added to the photoresist composition. The coupling agent may assist the crosslinking reaction, wherein the coupling agent reacts with groups on the hydrocarbon structure in the polymer resin prior to the crosslinking agent, thereby reducing the reaction energy and increasing the rate of the crosslinking reaction. The bonded coupling agent then reacts with the crosslinking agent to couple the crosslinking agent to the polymer resin.
Alternatively, in some embodiments where the coupling agent is added to the photoresist without a crosslinking agent, the coupling agent is used to assist in coupling one of the groups of one of the hydrocarbon structures in the polymer resin to a second group of another hydrocarbon structure to crosslink and bond the two polymers together. However, in this embodiment, unlike the crosslinking agent, the coupling agent is not retained as part of the polymer, but rather merely assists in directly bonding one hydrocarbon structure to another.
In some embodiments, the coupling agent has the following structure:
Figure BDA0002185369380000162
wherein R is a carbon atom, a nitrogen atom, a sulfur atom or an oxygen atom, and M includes a chlorine atom, a bromine atom, an iodine atom, -NO2、-SO3-、-H--、--CN、-NCO、--OCN、--CO2-、--OH、--OR*、-OC(O)CR*、--SR、--SO2N(R*)2、--SO2R*、SOR、--OC(O)R*、--C(O)OR*、--C(O)R*、--Si(OR*)3、--Si(R*)3And epoxy resins, R is substituted or unsubstituted C1-C12 alkyl, C1-C12 aryl, C1-C12 aralkyl, etc. In some embodiments, specific examples for use as coupling agents include the following materials:
Figure BDA0002185369380000171
the components of the photoresist are placed in a solvent to assist in the mixing and dispensing of the photoresist. To assist in the mixing and dispensing of the photoresist, the solvent is selected based at least in part on the material of the polymer resin and the material of the photoactive compound. In some embodiments, the solvent is selected such that the polymer resin and photoactive compound are uniformly dissolved in the solvent and distributed on the layer to be patterned.
In some embodiments, another additive added to the photoresist is a quencher (quencher) that inhibits diffusion of acid/base/radicals generated within the photoresist. The quencher improves the pattern layout of the photoresist and the stability of the photoresist over time. In one embodiment, the quencher is an amine, such as a secondary aliphatic amine, a tertiary aliphatic amine, and the like. Specific examples of the amines include trimethylamine (trimethylamine), diethylamine (diethylamine), triethylamine (triethylamine), di-n-propylamine (di-n-propylamine), tri-n-propylamine (tri-n-propylamine), tripentylamine (tripentylamine), diethanolamine (diethanolamine), triethanolamine (triethanolamine), alkanolamine (alkanolamine), combinations thereof, and the like.
In some embodiments, an organic acid is used as a quencher. Specific embodiments of the organic acid include malonic acid, citric acid, malic acid, succinic acid, benzoic acid, salicylic acid; oxyphosphoric acid (phosphoric oxy acid) and derivatives thereof, such as phosphoric acid and derivatives thereof, for example, phosphoric acid esters, di-n-butyl phosphate and diphenyl phosphate; phosphonic acids (phosphonicacids) and derivatives thereof, such as phosphonates, for example dimethyl phosphonate, di-n-butyl phosphonate, phenylphosphonic acid, diphenyl phosphonate and dibenzyl phosphonate; and phosphinic acids and derivatives thereof, such as phosphinates, including phenylphosphinic acid.
In some embodiments, another additive added to the photoresist is a stabilizer that helps prevent diffusion of the acid generated during exposure of the photoresist. In some embodiments, the stabilizing agent comprises a nitrogen-containing compound including aliphatic primary, secondary, and tertiary amines; cyclic amines including piperidine, pyrrolidine, morpholine; aromatic heterocycles including pyridine, pyrimidine, purine; imines, including diazabicycloundecene, guanidines, imides, amides, and the like. Alternatively, in some embodiments, ammonium salts are also used as stabilizers, including ammonium, ammonium salts of primary, secondary and tertiary alkyl and aryl alkoxides, including hydroxides, phenolates, carboxylates, aryl and alkyl sulfonates, sulfonamides, and the like. In some embodiments, other cationic nitrogen-containing compounds are used, including pyridinium salts and salts of other heterocyclic nitrogen-containing compounds with anions, such as alkoxides, including hydroxides, phenolates, carboxylates, aryl and alkyl sulfonates, sulfonamides, and the like.
In some embodiments, another additive added to the photoresist is a dissolution inhibitor, which helps control the dissolution of the photoresist during development. In one embodiment, bile-salt esters (bile-salts) may be used as dissolution inhibitors. In some embodiments, specific examples of dissolution inhibitors include cholic acid (cholic acid), deoxycholic acid (deoxycholic acid), lithocholic acid (lithocholic acid), t-butyl deoxycholate (t-butyl deoxycholate), t-butyl lithocholate (t-butyl lithocholate), and t-butyl-3-acetyl lithocholate (t-butyl-3-acetoxylithocholate).
In some embodiments, another additive added to the photoresist is a plasticizer (plastisizer). Plasticizers can be used to reduce delamination and cracking between the photoresist and the underlying layer (e.g., the layer to be patterned). Plasticizers include monomeric, oligomeric and polymeric plasticizers such as oligomeric and polymeric polyglycol ethers, cycloaliphatic esters and non-acid reactive steroid derived materials. In some embodiments, specific examples of materials for the plasticizer include dioctyl phthalate (dioctyl phthalate), docosanyl phthalate (didodecyl phthalate), tripropylene glycol dicaprylate (triethylene glycol dicaprylate), dimethyl phthalate (dimethyl glycol phthalate), tricresyl phosphate (tricresyl phosphate), dioctyl adipate (dioctyl phthalate), dibutyl sebacate (dibutyl sebacate), triacetyl glycerol (triacetyl glycerol), and the like.
In some embodiments, another additive of the photoresist comprises a coloring agent (coloring agent). The colorant enables the viewer to inspect the photoresist and locate any defects that may need to be remedied before further processing. In some embodiments, the colorant is a triarylmethane (triarylmethane) dye or a fine particle organic pigment. In some embodiments, specific examples of materials include crystal violet (crystal violet), methyl violet, ethyl violet, oil Blue #603(oil Blue #603), victoria Pure Blue boh (victoria Pure Blue boh), malachite green, diamond green, phthalocyanine pigments, azo pigments, carbon black, titanium oxide, brilliant green dye (c.i.42020), victoria Pure Blue fga (linebrown), victoria BO (linebrown) (c.i.42595), victoria Blue BO (c.i.44045), rhodamine 6G (c.i.45160), benzophenone compounds such as 2,4-dihydroxybenzophenone (2,4-dihydroxybenzophenone), and 2,2',4,4' -tetrahydroxybenzophenone (2,2',4,4' -tetrahydrobenzophenone); salicylic acid compounds such as phenyl salicylate (phenyl salicylate) and 4-tert-butyl phenyl salicylate (4-t-butyl phenyl salicylate); phenyl acrylate (benzotriazole) compounds such as ethyl-2-cyano-3,3-diphenyl acrylate (ethyl-2-cyano-3,3-diphenylacrylate) and 2'-ethylhexyl-2-cyano-3,3-diphenylacrylate (2' -ethylhexyl-2-cyano-3, 3-diphenylacrylate); benzotriazole compounds, such as 2- (2-hydroxy-5-methylphenyl) -2-hydro-benzotriazole (2- (2-hydroxy-5-methylphenyl) -2H-benzotriazole) and 2- (3-tert-butyl-2-hydroxy-5-methylphenyl) -5-chloro-2-hydro-benzotriazole (2- (3-t-butyl-2-hydroxy-5-methylphenyl) -5-chloro-2H-benzotriazole); coumarin compounds such as 4-methyl-7-diethylamino-1-benzopyran-2-one (4-methyl-7-diethylamino-1-benzopyran-2-one); thioxanthone (thioxanthone) compounds, such as diethylthioxanthone (diethylthioxanthone); stilbene compounds (stilbenes), naphthalic acid compounds, azo dyes, phthalocyanine blue, phthalocyanine green, iodine green, victoria blue, crystal violet, titanium oxide, naphthalene black, Photopia methyl violet, bromophenol blue and bromocresol green; laser dyes such as rhodamine G6, coumarin 500, DCM (4- (dicyanomethylene) -2-methyl-6- (4-dimethylaminostyryl) -4-hydropyran) (4- (dicyanomethylene) -2-methyl-6- (4-methylenestyryl) -4H pyran), Kiton Red 620, Pyrromethene 580, etc. One or more colorants may additionally be used in combination to provide the desired coloration.
In some embodiments, the adhesion additive is added to the photoresist to promote adhesion between the photoresist and an underlying layer (e.g., a layer to be patterned) of the photoresist, and in some embodiments, the adhesion additive includes silane compounds having at least one reactive substituent, such as carboxyl, methacryloyl, isocyanate and/or epoxy groups specific examples of the adhesion component include trimethoxysilylbenzoic acid (trimethyoxysilicic acid), gamma-methacryloxypropyltrimethoxysilane (gamma-methacryloxypropyltrisilane), vinyltriethoxysilane (vinyltriacetoxyphylline), vinyltrimethoxysilane (vinyltriacetoxypropyltrimethoxysilane), gamma-isocyanatopropyltriethoxysilane (gamma-isocyanatopropyltriethoxysilane), gamma-glycidoxypropyltrimethoxysilane (gamma-glycidoxypropyltrimethoxysilane), 3-glycidoxypropyltrimethoxysilane (3, 4-epoxycyclohexylethyltrimethoxysilane), 3-phenoxyethyltrimethoxysilane (3, 4-epoxypropylthiolane), 2-phenoxyethoxyethoxysilane (3, 2-ethoxypropylthiolane), 2-ethoxyphenylthiolane, 2-ethoxysilane, 8-epoxymorpholine, 2-ethoxyphenyl-N-ethoxyphenyl-3-ethoxyphenyl-1, N-ethoxyphenyl-3-ethoxyphenyl-ethyl-3-ethoxyphenyl-3-N-ethoxyphenyl-3-ethyl-3-ethoxyphenyl-1, N-ethoxyphenyl-1-ethoxyphenyl-2-phenyl-pyrrolidone, N-ethoxyphenyl-2-triphenyl-triphenylamine, and a combination thereof, a derivative thereof, and a derivative thereof.
In some embodiments, a surface leveling agent (surface leveling agent) is added to the photoresist to help the top surface of the photoresist remain level so that the impinging light is not undesirably altered by an uneven surface. In some embodiments, the surface leveler includes fluoroaliphatic esters, hydroxyl terminated fluoropolyethers, fluoroethylene glycol polymers, silicones, acrylic polymeric levelers, combinations thereof, and the like.
In some embodiments, the polymer resin, photoactive compound, and any desired additives or other reagents are added to a solvent for use. After addition, the mixture is mixed so that the entire photoresist has a uniform composition, thereby ensuring that there are no defects caused by uneven mixing or uneven composition of the photoresist. After mixing, the photoresist may be stored or used immediately prior to use.
In preparation, as shown in fig. 2, a photoresist is applied to a layer to be patterned (e.g., substrate 10) to form a photoresist layer 15. In some embodiments, the photoresist is applied using a spin coating process, a dip coating process, an air knife coating process, a curtain coating process, a wire bar coating process, a gravure coating process, a lamination process, an extrusion coating process, combinations thereof, and the like. In some embodiments, the photoresist layer 15 has a thickness ranging from about 10nm to about 300 nm.
In some embodiments, after applying the photoresist layer 15 to the substrate 10, a pre-bake S120 of the photoresist layer is performed to dry the photoresist before performing the radiation exposure (refer to fig. 1). Drying the photoresist layer 15 removes the volatile solvent components while leaving behind the polymer resin, photoactive compound, crosslinker, and other selected additives. In some embodiments, the pre-bake is performed at a temperature suitable for evaporating the solvent, for example, between about 40 ℃ and 120 ℃, where the exact temperature depends on the photoresist selected. The pre-bake is performed for a time sufficient to cure and dry the photoresist layer, for example, from about 10 seconds to about 10 minutes.
Fig. 3A and 3B illustrate the photoresist layer being selectively exposed to form exposed regions 50 and unexposed regions 15. In some embodiments, the radiation exposure is performed by placing the substrate with the photoresist coating in a lithography tool. The lithography tool includes a reticle 30, optics, an exposure radiation source that provides radiation 45 for exposure, and a movable stage for supporting and moving the substrate while exposing the radiation.
In some embodiments, a radiation source (not shown) provides radiation 45 (e.g., ultraviolet light) to the photoresist layer 15 to induce photoactivityThe reaction of the compound, the photoactive compound, in turn reacts with the polymer resin, chemically altering the regions of the photoresist layer that are impinged upon by the radiation 45. In some embodiments, the radiation is electromagnetic radiation, such as g-line (wavelength approximately 436nm), i-line (wavelength approximately 365nm), ultraviolet radiation, far ultraviolet radiation (far ultraviolet radiation), extreme ultraviolet radiation (extreme ultraviolet), electron beam, and the like. In some embodiments, the radiation source is selected from the group consisting of mercury vapor lamps, xenon lamps, carbon arc lamps, KrF excimer lasers (wavelength 248nm), ArF excimer lasers (wavelength 193nm), F2Excimer laser (wavelength 157nm), or CO2Laser-excited Sn plasma (extreme ultraviolet light, wavelength 13.5 nm).
In some embodiments, optics (not shown) in the lithography tool are used to control the radiation, such as spreading, reflecting, or otherwise, before or after the radiation 45 is patterned by reticle 30. In some embodiments, the optics include one or more lenses, mirrors, filters, and combinations thereof to control radiation 45 along the path of radiation 45.
In one embodiment, the patterning radiation 45 is extreme ultraviolet light having a wavelength of 13.5nm, the photoactive compound is a photoacid generator, the group to be decomposed is a carboxylic acid group on a hydrocarbon structure, and a crosslinking agent is used. In some embodiments, the mask is a reflective mask, and radiation from the radiation source is reflected from the mask and directed toward the photoresist. The patterning radiation 45 impinges on the photoresist and is absorbed by the photoacid generator in the photoresist. The above absorption causes the light-emitting acid generator to generate protons (e.g., H + atoms) in the photoresist layer 15. When a proton strikes a carboxylic acid group on the hydrocarbon structure, the proton reacts with the carboxylic acid group, causing the carboxylic acid group to chemically change, thereby changing the properties of the polymer resin. The carboxylic acid groups are then reacted with a crosslinking agent to crosslink with other polymer resins in the exposed areas of the photoresist layer 15.
In some embodiments, the photoresist layer 15 is exposed using an immersion lithography (immersion lithography) technique. In this technique, an immersion medium (not shown) is placed between the final optics and the photoresist layer, and the exposure radiation 45 passes through the immersion medium.
In some embodiments, after the photoresist layer 15 is exposed to the radiation 45, a post-exposure bake is performed to assist in the generation, dispersion, and reaction of acids/bases/radicals generated by the impingement of the radiation 45 on the photoactive compound during exposure. This thermal assistance helps to create or enhance a chemical reaction that creates a chemical difference between the exposed 50 and unexposed 52 regions in the photoresist layer. These chemical differences also cause solubility differences between exposed regions 50 and unexposed regions 52. In some embodiments, the temperature of the post exposure bake is from about 50 ℃ to about 160 ℃ for from about 20 seconds to about 120 seconds.
In some embodiments, the inclusion of a crosslinking agent in the chemical reaction facilitates the components of the polymer resin (e.g., individual polymers) to react and bond with each other, thereby increasing the molecular weight of the bonded polymer. Specifically, the initial polymer has side chains with carboxylic acid groups protected by the group to be removed or an acid labile group. The group to be removed is removed in a deprotection reaction, wherein the deprotection reaction is a proton (H) generated by, for example, a photoacid generator in an exposure process or a post-exposure baking process+) And (4) initiating. Proton (H)+) The group to be removed or the acid labile group is first removed, and another hydrogen atom can replace the removed structure to form a deprotected polymer. After deprotection, a crosslinking reaction occurs between the two deprotected individual polymers in the presence of a crosslinking agent. Specifically, in the carboxylic acid group, hydrogen atoms formed by the deprotection reaction are removed, and oxygen atoms react with and bond to the crosslinking agent. Such bonding of the crosslinking agent to the two polymers not only bonds the two polymers to the crosslinking agent, but also bonds the two polymers to each other, thereby forming a crosslinked polymer.
The molecular weight of the polymer is increased by the crosslinking reaction, so that the resulting new crosslinked polymer becomes less soluble in conventional organic solvents such as negative photoresist developers.
In some embodiments, the developer 57 is applied to the photoresist layer 15 using a spin-on process. In the spin-coating process, as shown in FIG. 5, the photoresist-coated substrate is spun and a developer 57 is applied to the photoresist layer 15 from above the photoresist layer 15. In some embodiments, the developer 57 is supplied at a rate of about 5ml/min to about 800ml/min, and the photoresist-coated substrate 10 is rotated at a speed of about 100rpm to about 2000 rpm. In some embodiments, the temperature of the developer is from about 10 ℃ to about 80 ℃. In some embodiments, the developing operation lasts from about 30 seconds to about 10 minutes.
While the spin coating operation is one suitable method for developing the photoresist layer 15 after exposure, it is illustrative and not intended to limit the embodiments. Any suitable development operation may alternatively be used, including immersion processes, puddle processes, and spray processes. These developing operations are all included within the scope of the embodiments.
In the development process, as shown in FIG. 6, the radiation exposed regions 50 of the photoresist are dissolved in a developer 57, thereby exposing the surface of the substrate 10 and leaving well defined unexposed photoresist regions 52 with better definition than conventional photoresist lithography.
In some embodiments, the developer 57 includes a solvent, an acid, or a base. In some embodiments, the solvent is present in a concentration of about 60 wt% to about 99 wt%, based on the total weight of the developer. The concentration of the acid or base is from about 0.001 wt% to about 20 wt% based on the total weight of the developer. In certain embodiments, the concentration of the acid or base in the developer is from about 0.01 weight percent to about 15 weight percent, based on the total weight of the developer.
In some embodiments, the developer 57 includes an enhancing additive and a solvent having a boiling point greater than 150 ℃. In some embodiments, the enhancing additives and solvents are the same as those disclosed herein for photoresist compositions. The additive ranges from about 10ppm to about 1,000ppm and the solvent ranges from about 1 weight percent to about 20 weight percent based on the total weight of the developer composition. In some embodiments, the enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In some embodiments, the disclosed additive concentration ranges reduce the surface tension of the developer, thereby increasing the solubility of the photoresist.
In some embodiments, the ionic surfactant in the developer 57 is one or more selected from the group consisting of:
Figure BDA0002185369380000231
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, C1-C12 aralkyl group, or the like.
In some embodiments, the nonionic surfactant in the developer 57 is selected from one or more of the following:
Figure BDA0002185369380000241
wherein n is the number of repeat units in the oligomer or polymer, R, R1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl, C1-C25 aryl, C1-C25 aralkyl, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide.
In some embodiments, in the developer 57, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether, di,
Figure BDA0002185369380000242
In some embodiments, the concentration of the second solvent having a boiling point greater than 150 ℃ is 0.1 wt.% to 30 wt.%, based on the total weight of the first solvent and the second solvent.
In some embodiments, the concentration of ionic surfactant or nonionic surfactant is from 10ppm to 1,000ppm based on the total weight of the developer composition.
After the developing operation S150, the residual developer is removed from the substrate that is patterned and covered by the photoresist. In some embodiments, a spin-dry process is used to remove residual developer, however any suitable removal technique may be used. After developing the photoresist layer 15 and removing the residual developer, additional processing is performed while the patterned photoresist layer 52 is in place. For example, in some embodiments, as shown in fig. 7, an etching operation is performed using a dry or wet etch to transfer the pattern of the photoresist layer 52 to the underlying substrate 10, thereby forming the grooves 55 ". The substrate 10 and the photoresist layer 15 have different etch resistances. In some embodiments, the etchant is more selective to the substrate 10 than the photoresist layer 15.
In some embodiments, the substrate 10 and the photoresist layer 15 comprise at least one etch-resistant molecule. In some embodiments, the etch-resistant molecule comprises a structure with a low Onishi number, a double bond, a triple bond, silicon nitride, titanium nitride, aluminum oxide, silicon oxynitride, combinations thereof, or the like.
In some embodiments, as shown in FIG. 8, a layer to be patterned 60 is provided on the substrate prior to forming the photoresist layer. In some embodiments, the layer to be patterned 60 is a metallization layer or a dielectric layer (e.g., a passivation layer disposed on the metallization layer). In the embodiment where the layer to be patterned 60 is a metallization layer, the layer to be patterned 60 is formed of a conductive material using a metallization process and a metal deposition technique, including chemical vapor deposition, atomic layer deposition, and physical vapor deposition (sputtering). Similarly, if the layer to be patterned 60 is a dielectric layer, the layer to be patterned 60 is formed by a dielectric layer formation technique, including thermal oxidation, chemical vapor deposition, atomic layer deposition, and physical vapor deposition.
The photoresist layer 15 is then selectively exposed to actinic radiation 45 to form exposed regions 50 and unexposed regions 52 in the photoresist layer, as shown in fig. 9A and 9B, the associated description being provided herein with respect to fig. 3A and 3B.
As shown in fig. 10, the exposed region 50 of the photoresist is developed by dispensing a developer 57 from a dispenser 62 to form a photoresist opening pattern 55 as shown in fig. 11. This development operation is similar to the development operation described herein with reference to fig. 5 and 6.
Next, as shown in FIG. 12, an etching operation is used to transfer the pattern 55 in the photoresist layer 15 to the layer to be patterned 60 and to remove the photoresist layer, as explained with reference to FIG. 7 for forming the pattern 55 "in the layer to be patterned 60.
In some embodiments, as shown in fig. 13A and 13B, the photoresist composition described herein is used as an upper layer 220 of a tri-layer photoresist 210, wherein the tri-layer photoresist 210 is disposed on a substrate 200 or a device layer 260. The upper layer 220 comprises a photoresist composition including a polymer resin, a photoactive compound, an organometallic compound, a first solvent, and a reinforcing additive selected from an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. The tri-layer photoresist 210 also includes an underlying layer 240 and an intermediate layer 230. In some embodiments, the lower layer 240 is an organic material having a substantially planar top surface and the intermediate layer 230 is an antireflective layer. As shown in fig. 14A and 14B, the upper layer 220 is patterned using a suitable lithographic operation to obtain a pattern 250 of the upper layer 220, as described herein with reference to fig. 3A, 3B, 5, 6, 9A, 9B, 10, and 11.
In some embodiments, the underlying layer 240 is an organic material. The organic material may comprise a plurality of uncrosslinked monomers or polymers. In some embodiments, the underlying layer 240 comprises a material that may be patterned and/or have a composition adjusted to be capable of providing anti-reflective properties. Exemplary materials for the underlying layer 240 include carbon backbone polymers. The underlying layer 240 serves to render the structure planar, as the structure beneath it may be non-uniform, depending on the device structure in the underlying device layer 260. In some embodiments, the underlying layer 240 is formed by a spin-on process. In other embodiments, the underlying layer 240 is formed by another suitable deposition process. In certain embodiments, the thickness of the underlying layer 240 is about 50nm to about 500 nm.
The intermediate layer 230 of the tri-layer photoresist structure may have a composition that provides anti-reflective properties and/or hard mask properties during a photolithography operation. In some embodiments, interlayer 230 comprises a silicon-containing layer (e.g., a silicon hardmask material). The intermediate layer 230 may include a silicon-containing inorganic polymer. In other embodiments, the intermediate layer 230 comprises a siloxane polymer. In other embodiments, the intermediate layer 230 includes silicon oxide (e.g., spin-on-glass (SOG)), silicon nitride, silicon oxynitride, polysilicon, metal-containing organic polymer materials including metals such as titanium, titanium nitride, aluminum, and/or tantalum; and/or other suitable materials. The intermediate layer 230 may be bonded to an adjacent layer, for example, by covalent bonding, hydrogen bonding, or hydrophilic-hydrophilic forces.
Accordingly, the intermediate layer 230 may include a composition capable of forming a covalent bond between the intermediate layer and the upper layer 220 after an exposure process and/or a subsequent baking process. In some embodiments, the intermediate layer 230 includes an additive compound or component with a photobase generator. The photobase generator generates a base that is capable of reacting with the exposed photoresist and provides covalent bonding between the components of the intermediate layer and the overlying photoresist. In some embodiments, the intermediate layer 230 comprises a siloxane polymer and a photobase generator.
In some embodiments, as shown in fig. 15A and 15B, the pattern 250 in the upper layer 220 is extended through the intermediate layer 230 and a pattern 250' is formed in the intermediate layer to expose the lower layer 240 by using a suitable etching technique, followed by removal of the upper layer.
In some embodiments, as shown in fig. 16A and 16B, the pattern 250' of the intermediate layer 230 is extended through the underlying layer 240 and the pattern 250 "is formed in the underlying layer 240 by using a suitable etching technique to expose the substrate 200 or a device layer 260 disposed on the substrate 200. In some embodiments, the pattern 250 "of the underlying layer 240 is extended into the substrate 200 or device layer 260 by using a suitable etching technique. As shown in fig. 17A and 17B, the intermediate layer 230 and the underlying layer 240 are removed using a suitable etching or stripping operation to provide the substrate 200 or device layer 260 with the pattern 250 "'.
The novel photoresist and developer compositions and lithographic patterning methods of one embodiment of the present disclosure provide higher semiconductor device feature resolution and density and have fewer defects in a more efficient process than conventional developers and techniques. The novel photoresist and developer compositions described above provide improved sensitivity of the photoresist composition, thereby enabling the use of reduced exposure doses. In some embodiments, patterns with a pitch of less than 40nm and a line width resolution of less than 5nm are formed with an exposure dose energy of about 70mJ to about 10 mJ. In one embodiment, the exposure dose energy is reduced by about 3% to about 40% compared to the exposure dose energy required to pattern a photoresist without the enhancement additive of embodiments of the present disclosure.
One embodiment of the present disclosure is a photoresist composition comprising a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In one embodiment, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000271
Figure BDA0002185369380000281
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, or C1-C12 aralkyl group. In one embodiment, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000282
r, R therein1And R2Are the same or different, substituted or unsubstitutedA substituted C1-C25 alkyl group, a C1-C25 aryl group, or a C1-C25 aralkyl group; EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In one embodiment, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether, di,
Figure BDA0002185369380000291
In one embodiment, the concentration of the second solvent having a boiling point greater than 150 ℃ is about 3 wt.% to about 100 wt.%, based on the total weight of the second solvent and the first solvent. In one embodiment, the concentration of the ionic surfactant or the nonionic surfactant is 10ppm to 1,000ppm based on the total weight of the ionic surfactant or the nonionic surfactant and the first solvent. In one embodiment, the organometallic compound includes metal oxide nanoparticles and one or more organic ligands. In one embodiment, the first solvent is selected from one or more of the following: propylene glycol methyl ether acetate, propylene glycol methyl ether, 1-ethoxy-2-propanol, gamma-butyrolactone, cyclohexanone, ethyl lactate, methanol, ethanol, propanol, n-butanol, acetone, methylformamide, isopropanol, tetrahydrofuran, methyl isobutyl carbinol, n-butyl acetate, and 2-heptanone. In one embodiment, the photoresist composition includes water in an amount of 10ppm to 250ppm based on the total composition of the water, the enhancing additive and the first solvent.
Another embodiment of the disclosure is a photoresist developer composition comprising a reinforcing additive and a first solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In one embodiment, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000292
Figure BDA0002185369380000301
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, or C1-C12 aralkyl group. In one embodiment, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000302
r, R therein1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl, C1-C25 aryl, C1-C25 aralkyl, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In one embodiment, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether, di,
Figure BDA0002185369380000303
Figure BDA0002185369380000311
In one embodiment, the concentration of the second solvent having a boiling point greater than 150 ℃ is 0.1 wt.% to 30 wt.%, based on the total weight of the first solvent and the second solvent. In one embodiment, the concentration of ionic surfactant or nonionic surfactant is from 10ppm to 1,000ppm based on the total weight of the developer composition.
Another embodiment of the present disclosure is a method of forming a pattern in a photoresist, comprising forming a photoresist composition layer on a substrate, and selectively exposing the photoresist layer with actinic radiation to form a latent pattern. And applying a developer to the selectively exposed photoresist layer, and developing the latent pattern to form a pattern. The photoresist composition includes a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In one embodiment, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000312
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, or C1-C12 aralkyl group, etc. In one embodiment, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000321
r, R therein1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl, C1-C25 aryl, C1-C25 aralkyl, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In one embodiment, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether(propylene glycol) butyl ether,
Figure BDA0002185369380000322
Figure BDA0002185369380000331
In one embodiment, the concentration of the second solvent having a boiling point greater than 150 ℃ is about 3 wt.% to about 100 wt.%, based on the total weight of the second solvent and the first solvent. In one embodiment, the concentration of the ionic surfactant or the nonionic surfactant is 10ppm to 1,000ppm based on the total weight of the ionic surfactant or the nonionic surfactant and the first solvent. In one embodiment, the organometallic compound includes metal oxide nanoparticles and one or more organic ligands. In one embodiment, the first solvent is selected from one or more of the following: propylene glycol methyl ether acetate, propylene glycol methyl ether, 1-ethoxy-2-propanol, gamma-butyrolactone, cyclohexanone, ethyl lactate, methanol, ethanol, propanol, n-butanol, acetone, methylformamide, isopropanol, tetrahydrofuran, methyl isobutyl carbinol, n-butyl acetate and 2-heptanone. In one embodiment, the photoresist composition includes water in an amount of 10ppm to 250ppm based on the total composition of the water, the enhancing additive and the first solvent. In one embodiment, the developer includes a reinforcing additive and a developer solvent.
In one embodiment of the present disclosure, a method of forming a pattern in a photoresist includes forming a photoresist composition layer on a substrate, and selectively exposing the photoresist layer with actinic radiation to form a latent pattern. And applying a developer to the selectively exposed photoresist layer, and developing the latent pattern to form a pattern. The developer includes a reinforcing additive and a developer solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In one embodiment, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000332
Figure BDA0002185369380000341
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, C1-C12 aralkyl group, or the like.
In one embodiment, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000342
r, R therein1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl, C1-C25 aryl, C1-C25 aralkyl, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In one embodiment, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether, di,
Figure BDA0002185369380000351
In one embodiment, the concentration of the second solvent having a boiling point greater than 150 ℃ is 0.1 wt.% to 30 wt.%, based on the total weight of the second solvent and the developer solvent. In one embodiment, the concentration of ionic surfactant or nonionic surfactant is from 10ppm to 1,000ppm based on the total weight of the developer.
Another embodiment of the present disclosure is a method of forming a pattern comprising forming an underlying layer of a tri-layer photoresist on a substrate. The middle layer of the triple layer photoresist is formed on the lower layer of the triple layer photoresist. The upper layer of the triple layer photoresist is formed on the middle layer of the triple layer photoresist. The overlying layer is exposed to actinic radiation to form a latent pattern. And applying a developer to the selectively exposed upper layer, and developing the latent pattern to form a pattern. The upper layer includes a photoresist composition including a polymer resin, a photoactive compound, an organometallic compound, a reinforcing additive, and a first solvent. The enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point greater than 150 ℃. In one embodiment, the ionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000352
Figure BDA0002185369380000361
wherein R is a substituted or unsubstituted C1-C12 alkyl group, C1-C12 aryl group, or C1-C12 aralkyl group.
In one embodiment, the nonionic surfactant is selected from one or more of the following:
Figure BDA0002185369380000362
r, R therein1And R2Is the same or different substituted or unsubstituted C1-C25 alkyl, C1-C25 aryl, C1-C25 aralkyl, etc., and EO/PO is ethylene oxide, propylene oxide, or a copolymer of ethylene oxide and propylene oxide. In one embodiment, the second solvent having a boiling point greater than 150 ℃ is selected from one or more of the following: cyclohexyl acetate, dipropylene glycol dimethyl ether, propylene glycol diacetate, dipropylene glycol methyl propylene ether, di (propylene glycol) methyl ether acetate, 1, 4-diacetoxybutane, 1, 3-butanediol diacetate, 1, 6-diacetoxyhexane, tripropylene glycol methyl ether, 1, 3-propanediol, propylene glycol, 1, 3-butanediol, propylene glycol butyl ether, dipropylene glycol monomethyl ether, diethylene glycolEthylene glycol monoethyl ether, di (propylene glycol) butyl ether, tri (propylene glycol) butyl ether,
Figure BDA0002185369380000371
In one embodiment, the concentration of the second solvent having a boiling point greater than 150 ℃ is about 3 wt.% to about 100 wt.%, based on the total weight of the second solvent and the first solvent. In one embodiment, the concentration of the ionic surfactant or the nonionic surfactant is 10ppm to 1,000ppm based on the total weight of the ionic surfactant or the nonionic surfactant and the first solvent. In one embodiment, the organometallic compound includes metal oxide nanoparticles and one or more organic ligands. In one embodiment, the first solvent is selected from one or more of the following: propylene glycol methyl ether acetate, propylene glycol methyl ether, 1-ethoxy-2-propanol, gamma-butyrolactone, cyclohexanone, ethyl lactate, methanol, ethanol, propanol, n-butanol, acetone, methylformamide, isopropanol, tetrahydrofuran, methyl isobutyl carbinol, n-butyl acetate and 2-heptanone. In one embodiment, the photoresist composition includes water in an amount of 10ppm to 250ppm based on the total composition of the water, the enhancing additive and the first solvent. In an embodiment, a device layer including one or more semiconductor devices is disposed between a substrate and an underlying layer. In one embodiment, the method includes the operation of extending the pattern of the upper layer through the intermediate layer to expose the lower layer. In one embodiment, a method includes extending a pattern of an intermediate layer through an underlying layer to expose a substrate. In one embodiment, a method includes extending a pattern of an underlying layer into a substrate. In one embodiment, the method includes removing the tri-layer photoresist. In one embodiment, the interlayer comprises a silicon-containing layer. In one embodiment, the intermediate layer is a hard mask. In one embodiment, the intermediate layer is an antireflective layer. In one embodiment, the intermediate layer comprises a photobase generator. In one embodiment, the underlying layer comprises an organic material. In some embodiments, the underlying layer comprises a carbon backbone polymer.
The foregoing has outlined features of several embodiments or examples so that those skilled in the art may better understand the aspects of the embodiments of the disclosure. Those skilled in the art should appreciate that they may readily use the disclosed embodiments as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the embodiments of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the embodiments of the present disclosure.

Claims (1)

1. A photoresist composition, comprising:
a polymer resin;
a photoactive compound;
an organometallic compound;
a reinforcing additive; and
a first solvent, a second solvent and a third solvent,
wherein the enhancing additive is an ionic surfactant, a non-ionic surfactant, or a second solvent with a boiling point greater than 150 ℃.
CN201910812144.XA 2018-08-31 2019-08-30 Photoresist composition Pending CN110874016A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862726015P 2018-08-31 2018-08-31
US62/726,015 2018-08-31
US16/522,135 2019-07-25
US16/522,135 US11215924B2 (en) 2018-08-31 2019-07-25 Photoresist, developer, and method of forming photoresist pattern

Publications (1)

Publication Number Publication Date
CN110874016A true CN110874016A (en) 2020-03-10

Family

ID=69639879

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910812144.XA Pending CN110874016A (en) 2018-08-31 2019-08-30 Photoresist composition

Country Status (3)

Country Link
US (1) US11215924B2 (en)
CN (1) CN110874016A (en)
TW (1) TW202011116A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113655689A (en) * 2020-05-12 2021-11-16 三星Sdi株式会社 Semiconductor photoresist composition and method for forming pattern using the same

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20230034431A (en) 2018-06-21 2023-03-09 인프리아 코포레이션 Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
CN113376960A (en) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 Method of manufacturing semiconductor device and pattern forming method
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
KR20210152291A (en) * 2020-06-08 2021-12-15 삼성전자주식회사 Photoresist Composition
US11726405B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist for semiconductor fabrication
JP2022086528A (en) * 2020-11-30 2022-06-09 東京応化工業株式会社 Resist composition and method of forming resist pattern
TWI760123B (en) * 2021-02-26 2022-04-01 新應材股份有限公司 Positive photosensitive resin composition for low-temperature process and method for manufacturing photoresist film
CN113075862A (en) * 2021-03-03 2021-07-06 长春人造树脂厂股份有限公司 Etch resistant composition
US20220291587A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US20220365428A1 (en) * 2021-05-14 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and associated methods
US20230012705A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control or aqueous treatment for euv metallic resist
US20230154750A1 (en) 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5876897A (en) * 1997-03-07 1999-03-02 Clariant Finance (Bvi) Limited Positive photoresists containing novel photoactive compounds
US6613499B2 (en) * 2001-06-12 2003-09-02 Macronix International Co., Ltd. Development method for manufacturing semiconductors
JP2010175691A (en) * 2009-01-28 2010-08-12 Fuji Xerox Co Ltd Image forming apparatus and printed item
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113655689A (en) * 2020-05-12 2021-11-16 三星Sdi株式会社 Semiconductor photoresist composition and method for forming pattern using the same

Also Published As

Publication number Publication date
US11215924B2 (en) 2022-01-04
TW202011116A (en) 2020-03-16
US20200073238A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
CN110874016A (en) Photoresist composition
KR102395397B1 (en) Photoresist composition and method of forming photoresist pattern
CN110609443A (en) Photoresist compound
US20230384670A1 (en) Photoresist composition and method of forming photoresist pattern
US20230393464A1 (en) Photoresist composition and method of forming photoresist pattern
CN110609441A (en) Method for forming photoresist pattern
CN113126433A (en) Photoresist composition and method for manufacturing semiconductor device
CN112987515A (en) Method of manufacturing semiconductor device and semiconductor device manufacturing tool
US11966162B2 (en) Photoresist composition and method of manufacturing a semiconductor device
US20230393467A1 (en) Resin, photoresist composition, and method of manufacturing semiconductor device
US20210341837A1 (en) Resin, photoresist composition, and method of manufacturing semiconductor device
CN110955112B (en) Photoresist composition and method for forming photoresist pattern
TWI790553B (en) Photoresist composition and method of manufacturing a semiconductor device
US11703765B2 (en) Photoresist composition and method of manufacturing a semiconductor device
TWI774172B (en) Method of manufacturing semiconductor device
CN110955112A (en) Photoresist composition and method for forming photoresist pattern
TWI815097B (en) Photoresist composition and method of manufacturing semiconductor device
US20210364916A1 (en) Photoresist composition and method of forming photoresist pattern
US20210271164A1 (en) Photoresist composition and method of manufacturing a semiconductor device
CN113359391A (en) Photoresist composition and method for forming photoresist pattern
TW202136327A (en) Method of manufacturing a semiconductor device
CN113126425A (en) Method of forming photoresist pattern

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200310

WD01 Invention patent application deemed withdrawn after publication