CN110838541A - Magnetoresistive device and method of forming the same - Google Patents

Magnetoresistive device and method of forming the same Download PDF

Info

Publication number
CN110838541A
CN110838541A CN201810936272.0A CN201810936272A CN110838541A CN 110838541 A CN110838541 A CN 110838541A CN 201810936272 A CN201810936272 A CN 201810936272A CN 110838541 A CN110838541 A CN 110838541A
Authority
CN
China
Prior art keywords
layer
material layer
conductive structure
magnetic resistance
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810936272.0A
Other languages
Chinese (zh)
Other versions
CN110838541B (en
Inventor
巫建勋
李建辉
萧智仁
陈永祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vanguard International Semiconductor Corp
Original Assignee
Vanguard International Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard International Semiconductor Corp filed Critical Vanguard International Semiconductor Corp
Priority to CN201810936272.0A priority Critical patent/CN110838541B/en
Publication of CN110838541A publication Critical patent/CN110838541A/en
Application granted granted Critical
Publication of CN110838541B publication Critical patent/CN110838541B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Abstract

The invention provides a magnetic resistance device and a forming method thereof. The magneto-resistance is disposed on the substrate, and the protective layer is formed on a portion of the magneto-resistance. The first conductive structure is arranged on the protective layer structure and comprises a lower barrier layer and a metal layer arranged on the lower barrier layer. The second conductive structure is disposed on the substrate and partially covers the magnetic resistance, and the second conductive structure includes the lower barrier layer and the metal layer. The invention forms a protective material layer on the magnetic resistance material layer, and then patterns the magnetic resistance material layer and the protective material layer together, wherein the protective layer is only formed on the magnetic resistance and does not cover other areas. Therefore, in the subsequent process, the protective layer can not be cracked from the edge of the pattern of the magnetic resistance any more, so that the problem of local peeling of the edge of the pattern of the magnetic resistance is avoided, and the manufacturing yield of the magnetic resistance device is improved.

Description

Magnetoresistive device and method of forming the same
Technical Field
The present invention relates to a magnetoresistive device, and more particularly, to a conductive structure of a magnetoresistive device and a method for fabricating the same.
Background
Magnetoresistive devices are widely used in various electronic products, such as personal computers, mobile phones, and digital cameras, for example. The magnetic resistance device comprises a magnetic resistance formed by a magnetic resistance material, and the arrangement direction of magnetic moments of the magnetic resistance is changed by an external magnetic field, so that the resistance value of the magnetic resistance is changed. Common magnetoresistances include Anisotropic Magnetoresistances (AMR), Giant Magnetoresistances (GMR), and Tunneling Magnetoresistances (TMR). For example, Anisotropic Magnetoresistance (AMR), the alignment direction of the magnetic moment is generally parallel to the length direction of the magnetoresistance; when the arrangement direction of the magnetic moments is parallel to the direction of the current flowing through the magnetic resistance, the magnetic resistance has the largest resistance value; when the magnetic moments are aligned in a direction perpendicular to the direction of current flowing through the magneto-resistance, the magneto-resistance has a minimum resistance value.
For example, a magnetoresistive device including anisotropic magnetoresistive AMR (AMR), the electrical connections are typically wires that form conductive structures on the AMR, and for applications that sense the direction and magnitude of a magnetic field, BBP conductive structures having a pattern similar to BarBer Pole (BarBer Pole) are formed on the AMR, ideally designed such that the direction of current flowing through the AMR is the shortest distance between the BBP conductive structures along the BBP conductive structures. The general design is to make the length direction of the BBP conductive structure and the length direction of the AMR form an included angle of 45 degrees, so that the resistance value of the AMR presents the optimal linear response to the change of an external magnetic field.
There are still many challenges in the fabrication of the magnetoresistive device, especially in reducing the damage to the magnetoresistive element. Therefore, the formation method of the magnetoresistive device still needs to be further improved.
Disclosure of Invention
Some embodiments of the present invention provide a magnetoresistive device comprising a magnetoresistive layer disposed on a substrate, a protective layer, a first conductive structure, and a second conductive structure. The magneto-resistance is disposed over the substrate. The protective layer is disposed on a portion of the magneto-resistance. The first conductive structure is arranged on the protective layer and comprises a lower barrier layer and a metal layer arranged on the lower barrier layer. The second conductive structure is disposed above the substrate and partially covers the protective layer, and includes a lower barrier layer and a metal layer disposed on the lower barrier layer.
Some embodiments of the present invention provide a method of forming a magnetoresistive device, the method including sequentially forming a magnetoresistive material layer and a protective material layer over a substrate, performing a first patterning process on the protective material layer and the magnetoresistive material layer to form a protective layer and a magnetoresistive, respectively, sequentially forming a first barrier material layer and a metallic material layer over the substrate to cover the protective layer and the magnetoresistive, and performing a second patterning process on the metallic material layer and the first barrier material layer to form a metallic layer of a first conductive structure and a lower barrier layer over the protective layer, respectively. The protective layer protects the underlying magnetoresistance during the second patterning process. The method further includes performing a wet etching process on the protective layer to remove portions of the protective layer not covered by the first conductive structure.
The invention forms a protective material layer on the magnetic resistance material layer, and then patterns the magnetic resistance material layer and the protective material layer together, wherein the protective layer is only formed on the magnetic resistance and does not cover other areas. Therefore, in the subsequent process, the protective layer can not be cracked from the edge of the pattern of the magnetic resistance any more, so that the problem of local peeling of the edge of the pattern of the magnetic resistance is avoided, and the manufacturing yield of the magnetic resistance device is improved.
In order to make the features and advantages of the present invention comprehensible, several embodiments accompanied with figures are described in detail below.
Drawings
Embodiments of the invention will be understood more fully from the detailed description and examples that follow, taken in conjunction with the accompanying drawings. In order to make the drawings clearly show, various elements in the drawings may not be drawn to scale, wherein:
FIGS. 1A-1D are cross-sectional views illustrating a magnetoresistive device at various stages of processing, according to some examples.
FIGS. 2A and 2B are cross-sectional views illustrating a magnetoresistive device at various stages of processing, according to further examples.
FIGS. 3A-3I are cross-sectional views illustrating a magnetoresistive device at various stages of processing according to some embodiments of the invention.
Reference numerals:
50A-device area;
50B-sensing region;
100. 200, 300-magnetoresistive devices;
102. 302-substrate;
104. 316' to magneto-resistance;
106. 320-first barrier material layer;
106', 320A, 320B-lower barrier layer;
108. 322-metal material layer;
108', 322A, 322B metal layers;
110. 324 to a second barrier material layer;
110', 324A, 324B-upper barrier layer;
112. 112', 326A, 326B-anti-reflective coating;
114. 327 patterning the photoresist layer;
116-patterning the conductive structure;
202-an adhesive layer;
304 active elements;
306-interlayer dielectric layer;
308-a contact element;
310-an inter-metal dielectric layer;
312-metal lines;
314 to a lead hole;
316-a magnetoresistive material layer;
318-protective material layer;
318', 318' A, 318' B-protective layer;
328A-a first conductive structure;
328B-a second conductive structure;
329 to sunken;
330 to a passivation layer;
332-opening;
350-a first patterning process;
360-a second patterning process;
d1-depth;
g, a grid structure;
s-distance;
S/D-source/drain region.
Detailed Description
The following disclosure provides many examples or embodiments for implementing various components of the provided magnetoresistive devices. Specific examples of components and arrangements thereof are described below to simplify the description of the embodiments of the invention. These are, of course, merely examples and are not intended to limit the embodiments of the invention. For example, references in the description to a first element being formed on a second element may include embodiments in which the first and second elements are in direct contact, and may also include embodiments in which additional elements are formed between the first and second elements such that they are not in direct contact. In addition, embodiments of the present invention may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments discussed.
Some variations of the embodiments are described below. Like reference numerals are used to designate like elements in the various figures and described embodiments. It will be understood that additional steps may be provided before, during, or after the method, and that some of the recited steps may be substituted or deleted in other embodiments of the method.
FIGS. 1A-1D illustrate cross-sectional views of the magnetoresistive device 100 of FIG. 1D at various stages of processing, according to some examples. Referring to FIG. 1D, magnetoresistive device 100 includes a substrate 102, a magnetoresistive 104, and a patterned conductive structure 116. In this example, the substrate 102 may include active devices (not shown) disposed therein, and an inter-metal dielectric layer (not shown) formed on top of the substrate 102 and over the active devices. The active device is electrically connected to the conductive structure 116 and the magnetic resistance 104 via the interconnect structure. Magnetoresistive layer 104 is disposed on an intermetal dielectric layer of substrate 102. Patterned conductive structures 116 are disposed on a portion of magnetoresistance 104, and are configured to change a current direction flowing between patterned conductive structures 116 and through the magnetoresistance, such that a resistance of magnetoresistance 104 is linearly responsive to a change in an applied magnetic field. Patterned conductive structure 116 includes a lower barrier (barrier) layer 106', a metal layer 108', an upper barrier layer 110', and an anti-reflective coating 112' sequentially stacked on the magnetoresistive layer 104. A method of forming the magnetoresistive device 100 of fig. 1D is described below.
Referring to fig. 1A, a substrate 102 is provided, and a magnetoresistive material layer is formed on the substrate 102. In this example, the magnetoresistive material layer may be a stacked structure including nickel iron (NiFe), cobalt iron (CoFe), cobalt iron (CoFeB), platinum manganese (PtMn), ruthenium (Ru), iridium manganese (IrMn), copper (cu), tantalum (Ta), and the like.
Then, a patterning process is performed on the magnetoresistive material layer to form a patterned magnetoresistive layer 104, such as an Anisotropic Magnetoresistive (AMR) layer or a Giant Magnetoresistive (GMR) layer. In this example, the patterning process includes forming a patterned photoresist layer (not shown) over the magnetoresistive material layer, performing a dry etch process on the magnetoresistive material layer, removing portions of the magnetoresistive material layer not covered by the patterned mask layer, and then removing the patterned photoresist layer. To completely remove the portion of the magnetoresistive material layer not covered by the patterned photoresist layer, the dry etching process typically over-etches the IMD layer of the substrate 102 to a depth D1, such as about 500 angstroms
Figure BDA0001767915410000051
To about
Figure BDA0001767915410000052
Within the range of (1).
Referring to FIG. 1B, a first blocking material layer 106, a metal material layer 108, a second blocking material layer 110, and an anti-reflective coating 112 are sequentially formed on the substrate 102 and cover the magnetic resistance 104. In this example. The material of the first barrier material layer 106 may include titanium Tungsten (TiW), titanium nitride (TiN), or titanium (Ti), the material of the metal material layer 108 includes an aluminum copper (AlCu) alloy, and the material of the second barrier material layer 110 includes titanium nitride (TiN).
Referring to fig. 1B, a patterning process is performed on the anti-reflective coating layer 112, the second barrier material layer 110, and the metal material layer 108. The step of the patterning process includes forming a patterned photoresist layer 114 over the anti-reflective coating layer 112.
The step of patterning process further comprises performing a dry etching process on the anti-reflective coating 112, the second barrier material layer 110, and the metallic material layer 108 together, removing portions of the anti-reflective coating 112, the second barrier material layer 110, and the metallic material layer 108 not covered by the patterned photoresist layer 114. In the etching process, the etching rate of the first barrier material layer 106 is lower than the etching rate of the metal material layer 108, so the first barrier material layer 106 acts as an etching stop layer for etching the metal material layer 108 to protect the underlying magnetic resistance 104 from being damaged by the etching. Referring to fig. 1C, after the dry etching process, a patterned anti-reflective coating 112', a patterned upper barrier layer 110', and a patterned metal layer 108' are formed. The patterning process also includes removing the patterned photoresist layer 114 by an ashing (ashing) process.
Next, in order to avoid damage to the magnetic resistance 104, a wet etching process is performed to remove a portion of the first barrier material layer 106 not covered by the anti-reflective coating layer 112', the upper barrier layer 110' and the metal layer 108', and the conductive structure 116 is completed after the lower barrier layer 106' is formed, as shown in fig. 1D.
It is noted that the dry etch process for the magnetic resistance 104 typically over etches the IMD layer of the substrate 102 to a depth D1, and the adhesion between the first barrier material layer 106 and the magnetic resistance 104 is greater than the adhesion between the magnetic resistance 104 and the IMD layer of the substrate 102. Therefore, during the ashing (ashing) process for the patterned photoresist layer 114, the deformation stress generated by the first barrier material layer 106 due to high temperature tends to locally crack from the height difference of the pattern edge of the magnetic resistance 104 to release the stress, which causes the pattern edge of the magnetic resistance 104 to be adhered to the inter-metal dielectric layer of the substrate 102 by the locally cracked first barrier material layer 106, resulting in local peeling (peel) of the pattern edge of the magnetic resistance 104 during the subsequent wet etching process to remove part of the first barrier material layer 106, for example, the region a indicated in fig. 1D. Thus, a reduction in the manufacturing yield and a risk of compromising the reliability of the magnetoresistive device 100 are caused.
FIGS. 2A and 2B illustrate cross-sectional views of the MR device 200 of FIG. 2B at various stages of processing, according to further examples, in which components identical to those of the previous examples of FIGS. 1A-1D are given the same reference numerals and their descriptions are omitted. The difference between the embodiment shown in FIGS. 2A and 2B and the examples of FIGS. 1A-1D described above is that the MR device 200 of FIGS. 2A and 2B further includes an adhesion layer 202 comprising tantalum (Ta) between the MR 104 and the IMD layer of the substrate 102. In the example of magnetoresistance 104 of FIGS. 2A and 2B, adhesion between the MR material and the IMD layer of substrate 102 is increased by placing an adhesion layer 202 between the MR material and the IMD layer of substrate 102 to solve the delamination problem of the magnetoresistance 104.
Referring to fig. 2A, an adhesive material layer, such as tantalum (Ta), is formed on the inter-metal dielectric layer of the substrate 102, and then a magnetoresistive material layer is formed on the adhesive material layer. A patterning process is performed on the layer of magnetic resistance material and the layer of adhesive material to form patterned magnetic resistance 104 and patterned adhesive layer 202. Next, the same or similar process steps as described above with reference to FIGS. 1A-1D are performed to form the magnetoresistive device 200 shown in FIG. 2B.
Since the adhesion layer 202 enhances adhesion between the MR 104 and the IMD layer of the substrate 102, the adhesion layer 202 prevents the first barrier material layer 106 from locally cracking away from the patterned edge level difference of the MR 104, even though the ashing process is performed on the patterned photoresist layer 114 as described above. Therefore, in the subsequent wet etching process, no local peeling (peel) of the pattern edge of the magnetoresistance 104 occurs in the removed portion of the first barrier material layer 106. For example, in region A, as indicated in FIG. 2B, this avoids the problem of local lift-off of MR 104 in region A as described in FIG. 1D.
In this example, tantalum is used as the glue material, so that the process temperature after forming glue layer 202 and magnetic resistance 104 is limited to below 300 ℃ in order to avoid tantalum atoms of glue layer 202 diffusing into magnetic resistance 104 and thereby reducing the magnetoresistance ratio (MR%) of magnetic resistance 104. For example, in the example of fig. 1A-1D, the Chemical Vapor Deposition (CVD) process temperature for forming the anti-reflective coating 112 is a temperature in a range from about 300 ℃ to about 400 ℃, whereas in the example of fig. 2A and 2B, the CVD process for forming the anti-reflective coating 112 is performed at a temperature in a range from about 250 ℃ to about 300 ℃. Lower temperature Chemical Vapor Deposition (CVD) has lower deposition rates and poorer thickness uniformity and causes more undesirable particle (particle) behavior, which reduces the production efficiency and production yield of the magnetoresistive device 200. Furthermore, limiting the process temperature to less than 300 ℃ may result in the subsequent inability of the magnetoresistance 104 to improve the magnetoresistance ratio (MR%) by high temperature annealing.
FIGS. 3A-3I illustrate cross-sectional views of the magnetoresistive device 300 of FIG. 3I at various stages of processing, in accordance with some embodiments of the present invention. In the case of not using an adhesive material layer between the magnetoresistive material and the inter-metal dielectric layer, the embodiment of fig. 3A-3I forms a protective material layer on the magnetoresistive material layer before patterning the magnetoresistive material layer, and then patterns the protective material layer and the magnetoresistive material layer together, so as to avoid the problem of deformation stress of the first barrier material layer caused by the difference in height generated by dry etching the magnetoresistive material layer, and to solve the problem of peeling of the magnetoresistive material described in fig. 1A-1D.
Referring to fig. 3A, a substrate 302 is provided. Substrate 302 may be any substrate on which a magnetoresistive device may be formed. In some embodiments, the substrate 302 may be a silicon substrate, a silicon germanium (SiGe) substrate, a bulk semiconductor (bulk semiconductor) substrate, a compound semiconductor (compound semiconductor) substrate, a Silicon On Insulator (SOI) substrate, or the like.
In some embodiments, substrate 302 includes device region 50A and sensing region 50B. The active devices 304 are formed in the device region 50A of the substrate 302. In one embodiment, the active device 304 may be a transistor, a diode, or similar active device. The active device 304 is, for example, a Field Effect Transistor (FET), which includes a gate structure G and source/drain regions S/D.
Next, an interconnect structure is formed over the substrate 302. The interconnect structure includes an interlayer dielectric 306, a contact 308, an inter-metal dielectric 310, a metal line 312, and a via hole 314.
An interlayer dielectric layer 306 is formed over the substrate 302 and covers the active devices 304. In some embodiments, the material of the interlayer dielectric layer 306 may comprise or be phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Undoped Silicate Glass (USG), Fluorinated Silicate Glass (FSG), similar materials, multiple layers of the foregoing, or combinations of the foregoing, and the interlayer dielectric layer 306 may be formed by Chemical Vapor Deposition (CVD), such as plasma-enhanced CVD (PECVD).
The contact 308 penetrates the interlayer dielectric layer 306 to electrically connect the active device 304. Although fig. 3A shows the contact 308 connected to the source/drain region S/D of the active device 304, in other embodiments, the contact 308 may be connected to the gate structure G of the active device 304. In some embodiments, the material of the contact 308 may comprise or be a conductive material, for example; tungsten (W), nickel (Ni), titanium (Ti), tantalum (Ta), aluminum (Al), copper (Cu), titanium nitride (TiN), tantalum nitride (TaN), similar materials, multiple layers of the foregoing, or combinations of the foregoing, and the contact 308 may be formed by an etching process and a deposition process. The deposition process may include Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), or Physical Vapor Deposition (PVD). Physical Vapor Deposition (PVD) may be sputtering or Pulsed Laser Deposition (PLD).
An inter-metal dielectric layer 310 is formed over the inter-layer dielectric layer 306. In some embodiments, the material of the inter-metal dielectric layer 310 may comprise or be silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, silicon oxycarbide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Undoped Silicate Glass (USG), Fluorinated Silicate Glass (FSG), similar materials, multiple layers of the foregoing, or combinations of the foregoing, and the inter-metal dielectric layer 310 may be formed by Chemical Vapor Deposition (CVD), such as Plasma Enhanced Chemical Vapor Deposition (PECVD).
Metal lines 312 and wire vias 314 are formed in the inter-metal dielectric layer 310 and electrically connect the contacts 308. Although FIG. 3A shows one IMD 310 layer and metal lines 312 and vias 314 therein, in other embodiments, multiple IMD 310 layers and metal lines 312 and vias 314 in each IMD 310 layer may be formed. In some embodiments, the material of the metal lines 312 and the lead vias 314 may comprise or be a conductive material, for example; tungsten (W), nickel (Ni), titanium (Ti), tantalum (Ta), aluminum (Al), copper (Cu), titanium nitride (TiN), tantalum nitride (TaN), similar materials, multiple layers of the foregoing, or combinations of the foregoing, and the metal lines 312 and the via holes 314 may be formed by a single damascene (dual damascene) or dual damascene (dual damascene) process.
With continued reference to fig. 3A, a magnetoresistive material layer 316 is formed over the inter-metal dielectric layer 310. In some embodiments, no tantalum (Ta) -containing adhesion layer is present between the IMD 310 and the MR material layer 316. In some embodiments, the magnetoresistive material layer 316 may comprise or be nickel iron (NiFe), cobalt iron (CoFe), cobalt iron boron (CoFeB), copper (Cu), platinum manganese (PtMn), iridium manganese (IrMn), ruthenium (Ru), and the like, multiple layers thereof, combinations thereof, or other stack structures suitable for forming Anisotropic Magnetoresistance (AMR) or Giant Magnetoresistance (GMR), and may be formed on the inter-metal dielectric layer 310 by Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), the like, or combinations thereof.
Referring to fig. 3B, a protective material layer 318 is formed on the magnetic resistance material layer 316. In some embodiments, the thickness of the protective material layer 318 may be in the range of about 300A to about 1500A. In some embodiments, the protective material layer 318 may comprise or be titanium Tungsten (TiW), titanium (Ti), titanium nitride (TiN), similar materials, or combinations of the foregoing, and the protective material layer 318 may be formed by Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), similar methods, or combinations of the foregoing. Physical Vapor Deposition (PVD) may be sputtering or Pulsed Laser Deposition (PLD). In one embodiment, the protective material layer 318 comprises titanium Tungsten (TiW), wherein the weight ratio of titanium to tungsten is about 1: 9.
next, a first patterning process 350 is performed on the protective material layer 318 and the magneto-resistive material layer 316. Referring to fig. 3C, after the first patterning process 350, the protective material layer 318 and the magnetic resistance material layer 316 form a patterned protective layer 318 'and a patterned magnetic resistance 316' in the sensing region 50B of the substrate 302, respectively.
In some embodiments, the first patterning process 350 includes forming a photoresist patterning mask layer (not shown), such as a patterned photoresist layer or a patterned hard mask layer, over the protective material layer 318 shown in fig. 3B, performing a dry etching process on the protective material layer 318 and the magnetoresistive material layer 316 together, removing the protective material layer 318 and the magnetoresistive material layer 316 that are not covered by the patterned mask layer to form a protective layer 318' and a magnetoresistive 316', and then removing the patterned mask layer over the protective layer 318', such as by an ashing (ashing) process or a strip (strip) process. In some embodiments, in which the patterned masking layer is a patterned photoresist layer, a dry etch process and an ashing (ashing) process may be performed in-situ within the etcher. In some embodiments, the dry etching process of the first patterning process 350 may be Reactive Ion Etching (RIE), electron cyclotron resonance (ERC) etching, Inductively Coupled Plasma (ICP) etching, Neutron Beam Etching (NBE), Ion Beam Etching (IBE) similar to the dry etching process, or a combination of the foregoing ion etching (RIE).
In some embodiments, to completely remove the protective material layer 318 and the magneto-resistive material layer 316 not covered by the patterned mask layer, the dry etching process may or overetch the IMD 310 to a depth D1, as shown in FIG. 3C.
Referring to FIG. 3D, a first blocking material layer 320 is formed on the IMD layer 310 to cover the passivation layer 318 'and the magnetic resistance 316'. The first barrier layer 320 is used to block tungsten (W) atoms from the material of the via 314 from diffusing into a subsequently formed overlying metal material layer 322, such as an aluminum copper (AlCu) alloy. The thickness of the first barrier material layer 320 may be in a range of about 250A to about 750A. In some embodiments, the first barrier material layer 320 may comprise or be titanium nitride (TiN), titanium (Ti), tantalum nitride (TiN), tantalum (Ta), similar materials, or combinations of the foregoing, and the first barrier material layer 320 may be formed by Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), similar methods, or combinations of the foregoing. Physical Vapor Deposition (PVD) may be sputtering or Pulsed Laser Deposition (PLD).
Next, a metal material layer 322 is formed on the first barrier material layer 320. In some embodiments, the thickness of the metallic material layer 322 may be in the range of about 3000A to about 8000A. In some embodiments, the metal material layer 322 may comprise or be aluminum copper (AlCu), aluminum silicon copper (AlSiCu), or the like, and the metal material 322 may be formed by Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), electroplating (electroplating), the like, or combinations thereof. Physical Vapor Deposition (PVD) may be sputtering or Pulsed Laser Deposition (PLD).
Next, a second barrier material layer 324 is formed over the metal material layer 322. The second barrier material layer 324 is used to prevent the metal material layer 322 from being oxidized. In some embodiments, the thickness of the second barrier material layer 324 may be in the range of about 500A to about 1000A. In some embodiments, the second barrier material layer 324 may comprise or be titanium nitride (TiN), titanium (Ti), tantalum nitride (TiN), tantalum (Ta), the like, or combinations of the foregoing, and the second barrier material layer 324 may be formed by Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), the like, or combinations of the foregoing. Physical Vapor Deposition (PVD) may be sputtering or Pulsed Laser Deposition (PLD). The material of the second barrier material layer 324 may be the same as or different from the material of the first barrier material layer 320.
Next, an anti-reflective coating 326 is formed over the second barrier material layer 324. In some embodiments, the thickness of the anti-reflective coating 326 may be in the range of about 250A to about 500A. In some embodiments, the material of the anti-reflective coating 326 may comprise or be silicon oxide (SiO)2) Silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxycarbide (SiOC), similar materials, or combinations of the foregoing, and the anti-reflective coating 326 may be formed by Chemical Vapor Deposition (CVD), such as Plasma Enhanced Chemical Vapor Deposition (PECVD).
In the embodiment of FIG. 3D, because no layer of adhesive material, such as tantalum (Ta), is used, Chemical Vapor Deposition (CVD) to form the anti-reflective coating 326 may be performed at a high temperature, such as in the range of about 300 deg.C to about 400 deg.C. The formation of the anti-reflective coating 326 using Chemical Vapor Deposition (CVD) performed at higher temperatures not only results in higher deposition rates and better thickness uniformity, but also results in less undesirable particle (particle) behavior, thereby improving the production efficiency and yield of the magnetoresistive device.
Next, a second patterning process 360 is performed on the anti-reflective coating 326, the second barrier material layer 324, the metal material layer 322 and the first barrier material layer 320 to form a first conductive structure 328A and a first conductive structure 328B. The second patterning process 360 is described in detail below.
Referring to FIG. 3E, the second patterning process 360 includes forming a patterned photoresist layer 327 on the anti-reflective coating layer 326.
The second patterning process 360 further includes performing a dry etching process on the anti-reflective coating 326, the second barrier material layer 324, the metal material layer 322, and the first barrier material layer 320, and removing the anti-reflective coating 326, the second barrier material layer 324, the metal material layer 322, and the first barrier material layer 320 which are not covered by the patterned photoresist layer 327. In some embodiments, the dry etching process of the second patterning process 360 may be Reactive Ion Etching (RIE), electron cyclotron resonance (ERC) etching, Inductively Coupled Plasma (ICP) etching, Neutron Beam Etching (NBE), a dry etching-like process, or combinations thereof. During the dry etch process of the second patterning process 360, the protection layer 318 'acts to protect the underlying magneto-resistance 316' from damage by the dry etch.
In some embodiments, for example, the passivation layer 318' is titanium Tungsten (TiW), the first and second barrier material layers 320 and 324 are titanium nitride (TiN), the metal material layer 322 is an aluminum copper (AlCu) alloy, and the anti-reflective coating 326 is silicon nitride. Since the titanium Tungsten (TiW) etch rate of the protective layer 318 'is lower than the titanium nitride (TiN) etch rate of the first barrier material layer 320, the titanium Tungsten (TiW) of the protective layer 318' has a high etch selectivity ratio to the titanium nitride (TiN) of the first barrier material layer 320 in the range of about 4 to 10 times. Therefore, the etching process of the second patterning process 360 generally employs an end mode (endpoint mode) to detect that the etching on the metal material layer 322 is completed, and then employs a time mode (time mode) to perform the etching on the first barrier material layer 320, so as to protect the underlying magnetic resistance 316' from being damaged by the etching by using the titanium Tungsten (TiW) of the protection layer 318' to protect the underlying magnetic resistance 316' from the etching.
In some embodiments, for example, the passivation layer 318' is titanium nitride (TiN), the first and second barrier material layers 320 and 324 are titanium nitride (TiN), the metal material layer 322 is an aluminum copper (AlCu) alloy, and the anti-reflective coating 326 is silicon nitride. Since the etch rate of the protection layer 318 'is the same as the etch rate of the first barrier material layer 320, in this embodiment, the titanium nitride (TiN) of the protection layer 318' may have a relatively thick thickness, for example, in the range of 2 to 3 times the thickness of the first barrier material layer, so as to ensure that after the first barrier material layer 320 is completely etched, the protection layer 318 'still has a sufficient thickness to prevent the etch from damaging the magnetic resistance 316'.
Referring to fig. 3F, after the dry etching process of the second patterning process 360, a first conductive structure 328A is formed in the sensing region 50B, wherein the first conductive structure 328A includes a patterned anti-reflective coating 326A, a patterned upper blocking layer 324A, a patterned metal layer 322A, and a patterned lower blocking layer 320 'on a portion of the protection layer 318'.
In addition, after the dry etching process of the second patterning process 360, a second conductive structure 328B is formed in the device region 50A, the second conductive structure 328B includes a patterned anti-reflective coating 326B, a patterned upper barrier layer 324B, a patterned metal layer 322B, and a patterned lower barrier layer 320B over the inter-metal dielectric layer 310. Wherein the lower barrier layer 320B is in contact with and electrically connected to the wire hole 314 in the inter-metal dielectric layer 310. Furthermore, the second conductive structure 328B extends to the sensing region 50B to partially cover the passivation layer 318' and the magnetic resistor 316' to form an electrical connection with the magnetic resistor 316 '.
After the dry etching process of the second patterning process 360, the protection layer 318 'still completely covers the upper surface of the magneto-resistance 316', as shown in FIG. 3F.
The second patterning process 360 also includes removing the patterned photoresist layer 327, such as by an ashing (ashing) process, as shown in fig. 3G. In some embodiments, the etching process and the ashing process of the second patterning process 360 may be performed in-situ (in-situ) within a dry etch tool.
It is noted that after the etching process of the first patterning process 350, the stress (stress) of the passivation layer 318' on the magnetic resistance 316' is released and only formed on the magnetic resistance 316' without covering other regions (e.g., the upper surface of the IMD 310). Furthermore, after the first conductive structure 328A and the second conductive structure 328B are formed by the second patterning process 360, stress (stress) of the conductive structures to the protection layer 318' is released. Thus, the problem of local lift-off (peel) at the patterned edge of the magnetoresistive 316' due to the protective layer 318' being cleaved from the patterned edge of the magnetoresistive 316' as described in the examples of FIGS. 1A-1D does not occur.
After the second patterning process 360, the portions of the magnetic resistance 316 'where the protective layer 318' is not covered by the first conductive structure 328A and the second conductive structure 328B are removed, leaving the protective layers 318'a and 318' B (also referred to as remaining portions 318'a and 318' B), as shown in fig. 3H. In some embodiments, this removal may be performed by a wet etch process.
In some embodiments, for example, titanium Tungsten (TiW) or titanium nitride (TiN) is used as the protective layer 318', and the etchant of the wet etch process may use a composition containing hydrogen peroxide (H)2O2) This avoids damage to the magneto-resistance 316'. The protection layer 318' is subjected to a wet etching process to form protection layers 318' a and 318' B, and a recess 319 with a laterally undercut recess distance S is formed because the wet etching process is isotropic (iso-tropic).
Referring to fig. 3I, a passivation layer 330 is formed over the inter-metal dielectric layer 310. A passivation layer 330 covers the first and second patterned conductive structures 328A and 328B and the magnetoresistance 316'. In some embodiments, the material of the passivation layer 330 may comprise or be silicon oxide, silicon nitride, silicon oxynitride, the like, or combinations of the foregoing, and the passivation layer 330 may be formed by Chemical Vapor Deposition (CVD), or, for example, Plasma Enhanced Chemical Vapor Deposition (PECVD). Thereafter, the passivation layer 330 is etched to form an opening 332 in the second patterned conductive structure 328B, thereby completing the fabrication of the magnetoresistive device 300.
In the embodiment of FIGS. 3A-3I, there is no tantalum (Ta) adhesion layer between the MR 316' and the IMD 310. Accordingly, Chemical Vapor Deposition (CVD) to form the passivation layer 330 may be performed at higher temperatures, such as in a range of about 400 ℃ to about 450 ℃. The passivation layer 330 is formed by using the chemical vapor deposition performed at a higher temperature, which not only results in a higher deposition rate and better thickness uniformity, but also has less undesirable particles (particles), thereby improving the production efficiency and the production yield of the magnetoresistive device.
Furthermore, after the passivation layer openings 332 are completed, a high temperature anneal may be performed on the magnetoresistive device 300, such as an anneal temperature in a range from 350 ℃ to about 450 ℃, to improve the characteristics of the magnetoresistive 316', including, for example, increasing the magnetoresistance ratio (MR%) and decreasing the sheet resistance (Rsq).
In an embodiment of the invention, the magnetoresistive device 300 includes an IMD 310 disposed over a substrate 302, a magnetoresistive layer 316', a protection layer 318' A, and a first conductive structure 328A. Magnetoresistive layer 316' is disposed in sensing region 50B of substrate 302 and over intermetal dielectric layer 310. The protective layer 318'A is formed over the partial reluctance 316'. The first conductive structure 328A is disposed over the protective layer 318' a. The first conductive structures 328A are configured to change a direction of current flowing between adjacent first conductive structures 328A through the magnetic resistance 316', such that the magnetic resistance is linearly responsive to a change in an applied magnetic field. Although two first conductive structures 328A are shown in FIG. 3I as being formed over the magnetic resistance 316', the number of first conductive structures 328A may be adjusted based on design requirements and is not limited to the illustrated embodiment.
In some embodiments, the first patterned conductive structure 328A includes a lower barrier layer 320A, a metal layer 322A, an upper barrier layer 324A, and an anti-reflective coating 326A sequentially stacked on the protective layer 318' a.
In some embodiments, the magnetoresistive device 300 also includes a second conductive structure 328B that is laterally spaced apart from the first conductive structure 328A and disposed in the device region 50A of the substrate 302. The second conductive structure 328B further extends to the edge of the sensing region 50B covering the passivation layer 318' B and the magnetic resistance 316', and is electrically connected to the magnetic resistance 316 '. The second patterned conductive structure 328B includes a lower barrier layer 320B, a metal layer 322B, an upper barrier layer 324B, and an anti-reflective coating 326B sequentially stacked on the inter-metal dielectric layer 310. Furthermore, the via 314 in the IMD 310 contacts and is electrically connected to the lower barrier layer 320B of the second conductive structure 328B.
In some embodiments, the material of the wire hole 314 is tungsten (W), and the material of the lower barrier layer 320B is tantalum nitride (TiN). Tantalum nitride (TiN) has a better barrier capability to tungsten atoms than other materials, such as titanium Tungsten (TiW). Therefore, the tantalum nitride (TiN) lower barrier layer 320B may preferably prevent tungsten atoms from diffusing into the upper metal layer 322B, thereby improving the reliability of the magnetoresistive device 300.
In summary, the embodiments of the invention utilize a protective material layer formed on the magnetic resistance material layer, and then patterning the magnetic resistance material layer and the protective material layer together, wherein the protective layer is formed only on the magnetic resistance and does not cover other regions (e.g., the surface of the inter-metal dielectric layer 310). Therefore, in the subsequent process, the protective layer can not be cracked from the edge of the pattern of the magnetic resistance any more, which avoids the problem of local peeling (peel) at the edge of the pattern of the magnetic resistance, and further improves the manufacturing yield of the magnetic resistance device.
In addition, in some embodiments, the process temperature is not limited to below 300 ℃ because no tantalum-containing adhesion layer exists between the MR layer and the IMD layer. The use of higher temperature for performing chemical vapor deposition not only results in higher film deposition rate and better thickness uniformity, but also results in less undesirable particle (particle) behavior, thereby improving the production efficiency and yield of the magnetoresistive device. Furthermore, after the magnetoresistive device is formed, the magnetoresistive device can be annealed at a high temperature, thereby further improving the characteristics of the magnetoresistive device.
The foregoing outlines several embodiments so that those skilled in the art may better understand the aspects of the embodiments of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent processes and structures do not depart from the spirit and scope of the present invention, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present invention.

Claims (18)

1. A magnetoresistive device, comprising:
a magnetic resistance disposed on a substrate;
a protective layer formed on a portion of the magnetic resistance; and
a first conductive structure disposed on the passivation layer, the first conductive structure comprising:
a lower barrier layer and a metal layer disposed on the lower barrier layer; and
a second conductive structure disposed on the substrate and partially covering the magnetic resistance, the second conductive structure comprising:
the lower barrier layer and the metal layer disposed on the lower barrier layer.
2. The magnetoresistive device of claim 1, wherein a material of the protection layer comprises TiW, TiTi, or TiN.
3. The magnetoresistive device of claim 1, wherein a material of the lower barrier layer comprises TiN, Ti, TaN, or Ta.
4. The magnetoresistive device of claim 1, further comprising:
an inter-metal dielectric layer disposed on the substrate, wherein the magnetic resistance and the second conductive structure are disposed on the inter-metal dielectric layer, and a via hole in the inter-metal dielectric layer is electrically connected to the second conductive structure.
5. The apparatus of claim 4, wherein the passivation layer is further disposed between the second conductive structure and the magneto-resistance.
6. The magnetoresistive device of claim 1, wherein the first conductive structure further comprises:
an upper barrier layer and an anti-reflective coating layer sequentially stacked on the metal layer of the first conductive structure.
7. The magnetoresistive device of claim 1, wherein the second conductive structure further comprises:
an upper barrier layer and an anti-reflective coating layer sequentially stacked on the metal layer of the second conductive structure.
8. A method of forming a magnetoresistive device, comprising:
sequentially forming a magnetic resistance material layer and a protective material layer on a substrate;
performing a first patterning process on the protective material layer and the magnetic resistance material layer to form a passivation layer and a magnetic resistance respectively;
forming a first barrier material layer and a metal material layer on the substrate in sequence to cover the protective layer and the magnetic resistance;
performing a second patterning process on the metallic material layer and the first barrier material layer to form a metallic layer and a lower barrier layer of a first conductive structure over the protective layer, respectively, wherein the protective layer protects the underlying magnetic resistance during the second patterning process; and
and performing a wet etching process on the protective layer to remove the part of the protective layer which is not covered by the first conductive structure.
9. The method of claim 8, wherein the first patterning process comprises a dry etch performed on the protective material layer and the magnetic resistance material layer together.
10. The method of claim 8, wherein the protective material layer comprises TiW, TiTi or TiN.
11. The method of claim 8, wherein the wet etching process uses an etchant containing H-hydroperoxide2O2The solution of (1).
12. The method of claim 8, wherein the first barrier material layer comprises TiN, Ti, TaN, or Ta.
13. The method of claim 8, wherein the metal material layer comprises an AlCu alloy, Al or AlCuSi alloy.
14. The method of claim 8, further comprising:
forming a second barrier material layer and an anti-reflective coating layer on the metal material layer in sequence;
wherein the second barrier material layer comprises titanium nitride TiN, titanium Ti, tantalum nitride TaN or tantalum Ta;
wherein the antireflective coating comprises silicon nitride SiN or silicon oxynitride SiON;
the second patterning process is further performed on the second barrier material layer and the anti-reflective coating layer to form an upper barrier layer and an anti-reflective coating layer of the first conductive structure, respectively.
15. The method of claim 8, further comprising:
before forming the magnetoresistive material layer, an inter-metal dielectric layer is formed on the substrate, wherein the magnetoresistive material layer is formed on the inter-metal dielectric layer.
16. The method of claim 15, wherein after the second patterning process, the metal material layer and the first barrier material layer are further formed on the metal layer and the lower barrier layer, respectively, of a second conductive structure over the IMD layer;
wherein the second conductive structure partially covers the protective layer.
17. The method of claim 16, wherein a portion of the passivation layer covered by the second conductive structure is left unremoved after the wet etching process.
18. The method of claim 16, wherein a via in the IMD layer is electrically connected to the lower barrier layer of the second conductive structure.
CN201810936272.0A 2018-08-16 2018-08-16 Magneto-resistive device and method of forming the same Active CN110838541B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810936272.0A CN110838541B (en) 2018-08-16 2018-08-16 Magneto-resistive device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810936272.0A CN110838541B (en) 2018-08-16 2018-08-16 Magneto-resistive device and method of forming the same

Publications (2)

Publication Number Publication Date
CN110838541A true CN110838541A (en) 2020-02-25
CN110838541B CN110838541B (en) 2023-05-05

Family

ID=69573342

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810936272.0A Active CN110838541B (en) 2018-08-16 2018-08-16 Magneto-resistive device and method of forming the same

Country Status (1)

Country Link
CN (1) CN110838541B (en)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991014288A1 (en) * 1990-03-07 1991-09-19 Santa Barbara Research Center Magnetoresistor structure and operating method
US20060209471A1 (en) * 2005-03-17 2006-09-21 Fujitsu Limited Magnetoresistive element and method of manufacturing the same
JP2009123818A (en) * 2007-11-13 2009-06-04 Mitsubishi Electric Corp Method of manufacturing magnetic sensor device
US20110156181A1 (en) * 2009-12-25 2011-06-30 Renesas Electronics Corporation Semiconductor device and manufacturing method of semiconductor device
US20110298070A1 (en) * 2010-06-03 2011-12-08 Renesas Electronics Corporation Semiconductor Device Having Magnetoresistive Element and Manufacturing Method Thereof
CN104253210A (en) * 2013-06-27 2014-12-31 上海矽睿科技有限公司 Manufacturing process of magnetic sensing device
CN104422906A (en) * 2013-08-29 2015-03-18 上海矽睿科技有限公司 Magnetic sensor and preparation technology thereof
US20150340594A1 (en) * 2014-05-22 2015-11-26 Voltafield Technology Corp. Anisotropic Magnetoresistive Device and Method for Fabricating the Same
US20180033959A1 (en) * 2015-02-04 2018-02-01 Everspin Technologies, Inc. Magnetoresistive Stack/Structure and Method of Manufacturing Same
CN108288612A (en) * 2017-01-09 2018-07-17 世界先进积体电路股份有限公司 Electric contact structure and forming method thereof

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991014288A1 (en) * 1990-03-07 1991-09-19 Santa Barbara Research Center Magnetoresistor structure and operating method
US20060209471A1 (en) * 2005-03-17 2006-09-21 Fujitsu Limited Magnetoresistive element and method of manufacturing the same
JP2009123818A (en) * 2007-11-13 2009-06-04 Mitsubishi Electric Corp Method of manufacturing magnetic sensor device
US20110156181A1 (en) * 2009-12-25 2011-06-30 Renesas Electronics Corporation Semiconductor device and manufacturing method of semiconductor device
US20110298070A1 (en) * 2010-06-03 2011-12-08 Renesas Electronics Corporation Semiconductor Device Having Magnetoresistive Element and Manufacturing Method Thereof
CN104253210A (en) * 2013-06-27 2014-12-31 上海矽睿科技有限公司 Manufacturing process of magnetic sensing device
CN104422906A (en) * 2013-08-29 2015-03-18 上海矽睿科技有限公司 Magnetic sensor and preparation technology thereof
US20150340594A1 (en) * 2014-05-22 2015-11-26 Voltafield Technology Corp. Anisotropic Magnetoresistive Device and Method for Fabricating the Same
US20180033959A1 (en) * 2015-02-04 2018-02-01 Everspin Technologies, Inc. Magnetoresistive Stack/Structure and Method of Manufacturing Same
CN108288612A (en) * 2017-01-09 2018-07-17 世界先进积体电路股份有限公司 Electric contact structure and forming method thereof

Also Published As

Publication number Publication date
CN110838541B (en) 2023-05-05

Similar Documents

Publication Publication Date Title
US11502245B2 (en) Magnetoresistive random access memory cell and fabricating the same
US10937956B2 (en) Magnetoresistive random access memory structure and method of forming the same
US11588107B2 (en) Integrated circuit structure
US11849645B2 (en) Integrated circuit
US11171284B2 (en) Memory device
US10266950B2 (en) Process for NiFe fluxgate device
US10847712B2 (en) Magnetoresistor devices and methods for forming the same
US20140322828A1 (en) Method for Manufacturing Magnetoresistance Component
TWI797357B (en) Semiconductor device and method for fabricating the same
CN110838541B (en) Magneto-resistive device and method of forming the same
US11121307B2 (en) Semiconductor device and method for fabricating the same
US11366182B2 (en) Magnetoresistive devices and methods for forming the same
CN112750942A (en) Magnetoresistive device and method of forming the same
TWI698034B (en) Magnetoresistive device and method for forming the same
US20230144157A1 (en) Etching of magnetic tunnel junction (mtj) stack for magnetoresistive random-access memory (mram)

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant