CN110799833A - Remote detection of plating on wafer-holding equipment - Google Patents

Remote detection of plating on wafer-holding equipment Download PDF

Info

Publication number
CN110799833A
CN110799833A CN201880043013.3A CN201880043013A CN110799833A CN 110799833 A CN110799833 A CN 110799833A CN 201880043013 A CN201880043013 A CN 201880043013A CN 110799833 A CN110799833 A CN 110799833A
Authority
CN
China
Prior art keywords
sensor
plating
cup
target area
electroplating apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880043013.3A
Other languages
Chinese (zh)
Inventor
拉詹·阿罗拉
杰瑞德·赫尔
杰森·丹尼尔·马尔切蒂
史蒂文·T·迈耶
詹姆斯·R·齐布里达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/638,131 external-priority patent/US10416092B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110799833A publication Critical patent/CN110799833A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9515Objects of complex shape, e.g. examined with use of a surface follower device
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/94Investigating contamination, e.g. dust

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Sustainable Development (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Methods and apparatus for detecting the presence of unwanted metal deposits on a substrate holder of an electroplating apparatus are described herein. In various embodiments, the plating sensor is used to detect unwanted metal deposits. The plating sensor may be mounted relatively far from its measurement area (e.g., the sensor target area). For example, the plating sensor may be on one side of the electroplating apparatus (in some cases mounted on the drip shield), and the sensor target area may be on the opposite side of the electroplating apparatus. In this manner, the plating sensor can measure across the electroplating apparatus. This placement provides a relatively deep depth of focus for the plated sensor and provides some physical separation between the plated sensor and the plating chemistry. Both of these factors lead to more reliable detection results.

Description

Remote detection of plating on wafer-holding equipment
Cross Reference to Related Applications
This application claims the benefit and priority OF U.S. patent application No.15/638,131 entitled "removal DETECTION OF smoothing on water method filing APPARATUS", filed on 29.6.2017, which is incorporated herein by reference in its entirety and for all purposes.
Background
Recent advances in semiconductor manufacturing and processing have led to the increasing use of electroplating to deposit various materials on semiconductor devices. Such materials include electroplated copper, nickel and tin-silver alloys.
Disclosure of Invention
Certain embodiments herein relate to methods, apparatus and anti-drip shields for detecting the presence of unwanted metal deposits on a substrate holder of an electroplating apparatus.
In one aspect of embodiments herein, there is provided an electroplating apparatus comprising: an electrolyte container configured to hold an electrolyte during electroplating; a substrate holder configured to support a substrate during electroplating, wherein the substrate holder is ring-shaped and supports the substrate at its periphery, the substrate holder comprising a sensor target area; and a plating sensor comprising a light source aimed at the sensor target area, wherein the plating sensor distinguishes between (i) areas on the sensor target area where unwanted metal deposits are present and (ii) areas on the sensor target area where unwanted metal deposits are not present.
In various embodiments, the substrate holder includes a cup including a bottom surface and an inner wall, and a lip seal positioned atop the inner wall of the cup. In some such cases, the sensor target area is on the lip seal. In other cases, the sensor target area is on the inner wall of the cup. In some cases, the sensor target area is on both the inner wall of the cup and the lip seal.
The electroplating apparatus may further comprise a drip guard. The plating sensor may be located on the drip proof cover. The drip proof cover may include a wall and a central opening through which the substrate holder fits. The wall may be a peripheral wall defining a central opening. In a particular embodiment, the device comprises a drip resistant cover, wherein the plated sensor is located on the drip resistant cover. In these or other cases, the drip resistant cover may include a wall and a central opening through which the substrate holder may fit. The plating sensor may be various types of sensors. For example, the plating sensor is a color-based sensor, an intensity-based sensor, or a camera.
In an embodiment, the electroplating apparatus further comprises an alignment fixture mounted on the substrate holder, the alignment fixture comprising a first portion and a second portion, wherein the first portion and the second portion are distinguishable with respect to a property measured by the plating sensor. In some such embodiments, the substrate holder includes a cup including a bottom surface and an inner wall, and a lip seal, wherein the lip seal is located atop the inner wall of the cup, and wherein the first portion of the alignment fixture is proximate to the lip seal such that the plating sensor detects the presence or absence of metal deposits on the lip seal. In another embodiment, the substrate holder includes a cup including a bottom surface and an inner wall, and a lip seal, wherein the lip seal is located on top of the inner wall of the cup, and wherein the first portion of the alignment fixture is proximate to the inner wall of the cup such that the plating sensor detects the presence or absence of a metal deposit on the inner wall of the cup.
The plating apparatus may further include a dryer that dries the sensor target area. In some such cases, the electroplating apparatus may further comprise a controller having executable instructions to dry the sensor target area prior to using the plating sensor to detect the presence of unwanted metal deposition. In these and other cases, the substrate holder is rotatable relative to the plating sensor. In many embodiments, the electroplating apparatus includes an inlet configured to deliver a fluid to the sensor target area. In some such cases, the electroplating apparatus may further include a controller having executable instructions to wet the sensor target area with a fluid after detecting the presence of an unwanted metal deposit in the sensor target area using the plating sensor and before electroplating on a new substrate using the electroplating apparatus.
In another aspect of embodiments herein, there is provided a drip resistant cover for a plating apparatus, the drip resistant cover comprising: a peripheral wall having a diameter substantially similar to a diameter of an electrolyte container in the electroplating apparatus; a central opening through which a substrate holder of the electroplating apparatus can fit, the central opening being defined by the peripheral wall; and a plated sensor mounted on the peripheral wall.
In some embodiments, the drip resistant cover comprises a flap (shutter) proximate to the plating sensor, wherein the flap is closeable to physically separate the plating sensor from the electrolyte reservoir of the electroplating apparatus. In some cases, the drip resistant cover includes a window proximate the plated sensor, wherein the plated sensor is capable of sensing through the window of the drip resistant cover. In some implementations, the drip shield includes a peripheral opening in the peripheral wall proximate the plated sensor, wherein the plated sensor is capable of sensing through the peripheral opening.
In another aspect of the disclosed embodiments, there is provided a method of detecting the presence of an unwanted metal deposit on a substrate holder of an electroplating apparatus, the method comprising: positioning the substrate holder at a detection position, the substrate holder comprising a sensor target area; and operating a plating sensor comprising a light source to detect the presence of the unwanted metal deposit in the sensor target area, wherein the plating sensor and the sensor target area are located on opposite sides of the electroplating apparatus such that a line of sight of the plating sensor extends across the electroplating apparatus.
These and other features will be described below with reference to the drawings.
Drawings
Fig. 1 shows a substrate holder of an electroplating apparatus having unwanted metal deposits thereon.
FIG. 2A shows a simplified diagram of a portion of an electroplating apparatus with a plated sensor mounted thereon.
Fig. 2B depicts a close-up cross-sectional view of the substrate holder, while fig. 2C depicts a close-up elevation view of the substrate holder of fig. 2B.
FIG. 3A illustrates a portion of an electroplating apparatus having a plating sensor and a cleaning arm mounted thereon, wherein the cleaning arm includes a dryer.
Figure 3B illustrates a close-up view of the cleaning arm and dryer shown in figure 3A.
FIG. 4A shows a front view of an alignment fixture, while FIG. 4B shows a side view of an alignment fixture that may be used in conjunction with a plating sensor, according to some embodiments.
Fig. 4C shows a side view of the alignment fixture shown in fig. 4A and 4B mounted on a cup of a substrate holder.
FIG. 4D is a top view of a portion of an electroplating apparatus showing a plating sensor aligned with the alignment fixture shown in FIGS. 4A-4C.
Fig. 5 is a flow chart depicting a method of detecting the presence of unwanted metal deposits on a substrate holder according to some embodiments.
Fig. 6A and 6B illustrate a portion of an electroplating apparatus, particularly a drip resistant cover with a plated sensor mounted thereon.
Fig. 7A and 7B provide experimental results that demonstrate that the methods described herein can be reliably used to detect the presence (and extent) of unwanted metal deposits on a substrate holder.
Fig. 8 shows a simplified diagram of an electroplating apparatus.
Fig. 9 and 10 depict top views of a multi-station electroplating apparatus.
Detailed Description
In this application, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. It will be understood by those of ordinary skill in the art that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of the many stages of integrated circuit fabrication performed thereon. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200mm or 300mm or 450 mm. Further, the terms "electrolyte," "plating bath," "plating solution," and "plating solution" are used interchangeably. The detailed description that follows assumes that the embodiments are implemented on a wafer. However, embodiments are not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that can take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micromechanical devices, and the like.
In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
Although the discussion herein focuses on a substrate holder constructed with a cup and lip seal, other arrangements may be used. Generally, when the terms "cup bottom" or "substrate holder bottom" are used herein, these terms are intended to cover the bottom of any wafer holder, regardless of whether the wafer holder is constructed from a cup as shown. The bottom surface of the wafer holder is typically the side of the wafer holder facing the plating solution. It is generally oriented in the same general direction as the surface of the substrate being plated and is generally nominally flat relative to the wafer. The cup of the wafer holder may also include an inner wall (sometimes referred to as an inner surface) that may extend vertically or angularly upward from the bottom surface of the substrate holder. The inner wall may have an annular shape with a diameter slightly smaller than the diameter of the substrate. Typically, the substrate holder is positioned around a peripheral portion of the substrate (such that the substrate holder supports an edge of the substrate) and extends radially outward from the substrate. As used herein, the term lip seal generally refers to the portion of the wafer holder that engages the edge of the wafer and forms a seal that protects the interior of the wafer holder (including the electrical contacts for connection to the wafer) from the plating solution while exposing the entire plating surface of the wafer to the plating solution. The lip seal may be located atop an inner wall of a cup of the substrate holder. Any of a variety of lip seal designs may be used.
For simplicity and clarity, most examples herein relate to "fountain" plating equipment with the wafer facing down. In such apparatus, the workpiece to be plated (typically a semiconductor wafer or wafer thereof)Other substrates) typically have a substantially horizontal orientation (which may be several degrees off true horizontal for some portions of the plating process or throughout the plating process in some cases) and may be electrically rotated during plating to create a substantially vertical upward convection pattern of electrolyte. The integrated impinging flow mass from the center to the edge of the wafer, and the inherently higher angular velocity of the rotating wafer at its edge relative to its center, produce a radially increasing shear (parallel wafer) flow pattern. Clamshell plating devices having cup-shaped and conical arrangements are often used to hold the wafer in place prior to and during plating. Examples of clamshell and fountain plating cells/devices include electroplating systems produced by and available from Lam research corporation of friemont, california
Figure BDA0002338124130000061
And (4) series. Additionally, clamshell fountain electroplating systems are described, for example, in U.S. patent No.6,800,187, filed 8/10/2001 and U.S. patent No.8,308,931, filed 2/11/2010, which are incorporated herein by reference in their entirety. Although the description herein focuses primarily on the wafer and holder being oriented in a downward, parallel direction to the plane of the local earth's surface, it should be understood that other orientations are not excluded and other orientations are also contemplated, such as at an angle to or perpendicular to the earth's surface.
Further, although the discussion and examples herein generally focus on the detection of tin/silver buildup, embodiments may be practiced to detect the presence of any material in the area of focus of the detector.
Unwanted metal deposition on a substrate holder
The problems associated with false metal deposits on the lip seal and cup bottom are exacerbated as wafers are designed with more and more features near the edge of the wafer where they are particularly susceptible to such plating. In addition, the presence of the near-edge features promotes the creation of spurious deposits on the wafer holder and seal. The interference between the near-edge features and the lip seal plating is greater when the feature density near the edge is higher. The drive towards more near-edge features is due to the desire to maximize the number of semiconductor devices that can be obtained from a single wafer.
In examples presented herein, an electroplating apparatus includes a cup for supporting a wafer during plating. The cup holds the wafer in place by supporting the periphery of the wafer. Thus, the cup has a large opening in its center, the diameter of which is slightly smaller than the diameter of the wafer. Fig. 1 shows wafer positioning system 101 including cup 102. In some cases, cup 102 may be coated with a material having non-stick properties, such as polyvinylidene fluoride (PVDF, e.g., from Arkema of colombens, colnbes, france)) Or polytetrafluoroethylene (PTFE, e.g., from Dupont of Wilmington, Tex.)
Figure BDA0002338124130000072
) Silicone or similar silicon and oxygen glassy ceramic non-stick materials, e.g. "Ceramica" by CuisinartTM"or ThermolonTM
In one example, cup 102 includes a bottom surface 103 and an inner wall 104 (also referred to as inner surface 104). The inner wall 104 may be vertically oriented, substantially perpendicular to the bottom surface 103 of the cup 102. The inner wall 104 may also be oriented at a non-vertical angle. In some cases, the height of the inner wall 104 may be between about 1.0mm and 1.5 mm. Lip seal 105 is located on top of inner wall 104 and engages the wafer during electroplating to form a peripheral seal. In certain embodiments, the lip seal 105 may have a height of between about 0.75mm and 1.5 mm. During electroplating, the lip seal 105 protects electrical contacts (not shown) located radially outward of the lip seal 105. At the bottom of the cup's inner wall 104, the cup 102 extends horizontally radially outward (radially outward and parallel to the wafer). The horizontal surface is the bottom surface 103 of the cup 102.
While the undesirable plating on the cup itself initially begins on the inner wall 104 of the cup where the cup 102 meets the lip seal 105, the plating may extend down the inner wall 104, around the corners of the cup 102, and radially outward along the bottom surface 103 of the cup 106. An undesirable metal deposit is shown as element 106. When the unwanted metal deposits 106 reach the bottom surface 103, significant manufacturing defects can occur, and wafers processed while plating on the bottom surface 103 of the cup 102 are typically damaged or have very low yields. The plating transition and growth to the cup bottom is due in part to the fact that: metal adheres more readily to the cup 102 (and particularly the bottom surface 103) than the lip seal 104. Although the bottom surface 103 and other portions of the cup 102 may be coated with a non-stick coating, such as a fluorinated polymer coating (e.g., polytetrafluoroethylene, PTFE), to help prevent metal from adhering to the surface, deposits may sometimes still form on the bottom surface 103. In many cases, once plating begins to occur on the bottom surface 103, the rate of deposition increases substantially, and the deposition quickly loses control and plates the entire bottom surface 103.
Because tin-silver alloys are typically deposited near the end of the semiconductor manufacturing process (e.g., as a tin-silver solder contact), the wafers used in tin-silver deposition processes are typically very expensive, having undergone many processing operations throughout the manufacturing process, and then have reached this point. Thus, the cost of failing to detect plating on the substrate holder (which may result in low or non-good yields of manufactured wafers) may be particularly expensive.
Without being bound to a particular theory, it is believed that the spurious deposition of tin-silver alloys occurs at least in part due to the significantly different reduction potentials of atomic tin relative to atomic silver. Furthermore, it is believed that the growth of the spurious deposits is first by plating tin (low silver content) at the lip seal wafer interface, and then by the displacement reaction (Sn +2Ag) occurring on the lip seal and cup surfaces+→Sn2++2Ag) resulting in two silver atoms (with oxygen) per tin atom (with oxidation state +2)State +1) substitution and, correspondingly, the volume of the pseudofilm increases. Again, without being bound to a particular theory, it is believed that other metal or alloy deposits formed from metals having significantly different reduction potentials (e.g., having a reduction potential greater than about 100mV or greater) can cause the same or similar problems relating to spurious metal deposits on lip seals and cups of plated components.
The metal deposits on the bottom and inner surfaces of the cup can cause (1) local non-uniformities in the areas near the unwanted deposits due to local variations in current and potential distributions, and (2) a reduction in the average thickness of the deposited film across the wafer. Thus, yield loss is not only related to the generation of stray metal particles, but also to the removal of charge or "current sinking" intended to plate on the wafer itself. The first impact typically occurs locally at the area on the wafer where unwanted plating occurs, and locally, current is drawn from features near the edge of the substrate to the bottom and inner surfaces of the cup, forming near-edge features that are thinner than desired. As the degree of unnecessary plating on the cup increases, a second impact (thinner than the desired average plating thickness for the entire wafer) occurs as the total plating current on the cup itself becomes significant relative to the total current required to plate the entire wafer, and thus, the average thickness of the features plated on the wafer drops below the target average thickness.
A substrate with a lower number of open areas is more sensitive to the presence of unwanted plating on the substrate holder than a substrate with a higher number of open areas (areas that need to be plated). These low amount of open area/low feature density substrates are more sensitive due to the fact that: the ratio of the areas of the cup that do not require plating to the areas where substrate plating is desired is relatively high. In other words, because the area over which current should be delivered to the substrate is small, it is easy to redirect large amounts of current when there is unwanted plating on the cup. Conversely, for high volume open area/high feature density substrates, it is desirable that the area through which current is delivered be large. In this way, the onset of unwanted plating on the cup will draw a relatively small portion of the current delivered to the wafer. In both cases, it is beneficial to detect small amounts of plating as soon as possible to avoid handling wafers that are not plated with the target uniformity or average thickness.
In both of the plating problems listed above, detrimental effects can result because the metal deposit effectively removes current from the wafer surface (where needed) and redirects it to the metal deposit itself, where it undesirably causes even more plating to occur at the location of the deposit. In many tin/silver deposition processes (e.g., forming relatively low I/O number bumps on a memory device), the open area on the wafer (e.g., the area where current is directed and plating occurs) may be small (e.g., on the order of 0.5-3% of the wafer surface). Thus, the current is directed to a relatively small area on the wafer, which may be comparable to the size of the metal deposit on the cup. Partly because these two regions are comparable in size, a proportionally large amount of current that would have flowed directly to the open regions on the wafer is instead directed to the deposits on the cup. Thus, even a small amount of plating formed on the bottom or inner surface of the cup can significantly affect the plating of the wafer around the feature. Furthermore, if the deposit is large enough, the total plating thickness on the wafer can be affected. This, of course, can lead to failure of many or all of the dies on the wafer. The area of the bottom surface of the cup for supporting a 300mm wafer is typically about 200cm2. The exposed area of the 300mm wafer is about 700cm2. If a 300mm wafer is masked to expose a small portion, for example 1% of the wafer surface, the area of plating on the wafer is about 7cm2. If a very small portion of the cup bottom (e.g., only 0.5% or about 1X 1cm of the cup bottom (1 cm)2) Preventing plating, the average plating rate on the wafer may be greatly reduced, for example, by about 14% (100 x 1 cm)2/7cm2=14%)。
Cleaning process for removing unwanted metal deposits
Because false tin/silver build-up can cause the above-mentioned problems, it is important to detect the tin/silver deposit and then remove or clean it from or replace the lip seal and cup base. Different cleaning techniques can be used to remove unwanted deposits. In some cases, cleaning may be automated. In other cases, cleaning may be initiated and/or performed manually. Example techniques for cleaning a substrate holder are further discussed and described in the following U.S. patents and patent applications, the entire contents of which are incorporated herein by reference in their entirety: U.S. Pat. No.9,221,081 entitled "AUTOMATEDCLEANING OF WAFER PLATING ASSEMBLY"; U.S. Pat. No.9,476,139 entitled "CLEANNING ELECTRICAL HOLDER USE REVERSE CURRENT DERIVATING"; AND U.S. patent application No.13/852,767, entitled "METHODS AND apparatus FOR clear electric cutting FOR SUBSTRATE electrodes", filed on 28.3.2013.
Once the bottom surface of the cup is plated, the deposits are typically not removed by an automated cleaning process, but must be removed by a different process (e.g., immersion or manual brushing) to chemically etch the cup (e.g., using a concentrated nitric acid chemical solution mixture with or without hydrogen chloride to manually remove the deposits). Thus, it is beneficial to remove these deposits before they grow to reach the bottom surface of the cup.
In some embodiments, the cleaning technique involves spraying a jet of cleaning fluid (e.g., deionized water or other cleaning fluid) in the direction of the lip seal/cup bottom surface/inner wall of the cup. The cleaning fluid will remove tin/silver deposits when the substrate holder and the cleaning fluid jet are rotated relative to each other.
A variety of different cleaning agents/fluids may be used. In some embodiments, the choice of cleaning agent will depend on the composition of the unwanted deposits to be removed. For example, the removal of unwanted tin-silver alloy deposits can be successfully accomplished using oxidizing acid solutions in which both tin and silver metals and salts can oxidize and/or dissolve. Thus, in some embodiments, the cleaning agent may include an acid and/or an oxidizing agent. A particular example of a suitable cleaning agent or cleaning solution for removing tin-silver alloy deposits is a nitric acid solution. Such solutions may have, for example, a nitric acid concentration of about or greater than 5%, 10%, 15%, 20%, 25%, 35%, or 50% by weight; or about equal to or less than any of these concentrations; or within a range defined by any pair of these concentrations. In some embodiments, the detergent/solution may employ a plurality of acids, such as, for example, a combination of nitric acid and hydrochloric acid (i.e., forming aqua regia), both acids being present at any of the above concentrations or within the above concentration ranges. However, other acids and combinations of acids may also be used at any of the above concentrations or concentration ranges. In some embodiments, the cleaning agent may be a metal complexing agent, and is typically a complexing agent selected for its ability to complex the metals comprising the deposit to be removed. For example, the complexing agent selected as the cleaning agent may be oxalate ion because it complexes with tin. In some embodiments, silver complexing agents may be selected as cleaning agents, such as various mercapto-derived compounds.
An alternative cleaning method includes rotating a cleaning disk having cleaning fluid therein, wherein the rotation causes the cleaning fluid to emanate from peripheral apertures in the disk. The cleaning solution is then contacted with the substrate holder to remove unwanted deposits. In some embodiments, the disk may have a substantially circular upper surface, a substantially circular lower surface, a substantially circular rim connecting the upper and lower surfaces, and a plurality of apertures open at the rim. The disc may also have an interior region that extends into the interior of the disc. In some embodiments, the pores are sized such that the cleaning agent is retained inside the pores by adhesion between the cleaning agent and the inner surface of the pores. One method of using such a cleaning tray may include loading a cleaning agent into a plurality of holes of the cleaning tray, placing the cleaning tray within a semiconductor processing apparatus, and rotating or otherwise manipulating the tray to release the cleaning agent from the plurality of holes such that elements in the apparatus are in contact with the released cleaning agent. Such cleaning techniques and apparatus are therefore further described in U.S. patent No.9,221,081, which is incorporated herein by reference.
Another alternative automatic cleaning technique involves reverse current deplating. Such cleaning involves placing a cleaning (stripping) tray in a plating cup similar to a conventionally processed substrate. The front surface of the cleaning disk includes a corrosion-resistant, electrically conductive material to form an electrical connection with the deposits on the cup surface. The pan is sealed in a cup and then immersed in the plating solution. A reverse current (i.e., a current opposite to that typically used to plate material on the substrate) is then applied to the front conductive surface of the disk to initiate deplating of the deposit. During cleaning, the sealing pressure in the cup may change, resulting in different deformations of the lip seal and a new electrical connection with the deposits. This cleaning technique is further described in U.S. patent No.9,476,139, which is incorporated by reference above.
Cleaning is typically performed manually prior to performing automated cleaning. The operator will remove the metal deposit using a cotton swab dipped in a concentrated nitric acid solution or other reagent capable of dissolving the metal. The operator can visually inspect the lip seal and cup bottom to ensure complete metal removal. Of course, this is a time consuming and inefficient process that may be hazardous to the operator. Automatic cleaning eliminates these problems and represents a significant advance over existing manual techniques. However, as automated cleaning is performed, without the operator regularly visually inspecting the deposits, the wafers may continue to be processed even after unwanted deposits have formed on the cups, resulting in the loss of these valuable wafers. Although an operator may check the plating apparatus periodically, the operator has a limited ability to check the deposit due to time limitations and other various factors. Thus, for example, a visual inspection may occur only once or twice a day. By the time the visual inspection is performed, many valuable wafers may have been processed under the cup bottom plating conditions, resulting in the loss (or low yield) of these expensive wafers. In view of this difficulty, automated detection and removal of unwanted deposits is particularly valuable.
While automated cleaning is advantageous for the reasons described herein, it may be difficult to determine the optimal time/frequency of cleaning. For example, if cleaning occurs too frequently, throughput may be affected because the plating bath is not available to process the substrate while cleaning. On the other hand, if cleaning is not performed frequently enough, many valuable wafers may be processed under harsh conditions, resulting in loss or low yield of such wafers. Thus, it is beneficial to detect the presence of unwanted plating on the plating equipment. In this way, the decision as to whether and when to clean the wafer holder may be directly based on the cleanliness of the wafer holder. Similarly, the detection methods described herein may be performed after the cleaning operation to confirm that the cleaning operation was successful.
Method and apparatus for detecting unwanted metal deposits on a substrate holder
Certain embodiments herein provide a method of detecting whether and to what extent residual metal deposits are present on a substrate holder. These methods may be performed simultaneously with or immediately before or immediately after the cleaning operation, but they may be performed at any time when no plating is performed. In some cases, the detection method is practiced whenever an automated cleaning process is performed (e.g., before the cleaning process to trigger the cleaning process, and/or after the cleaning process to confirm that the cleaning process was successful). In other cases, the detection method is practiced either more frequently or less frequently. For example, detection can be performed after each wafer is processed, after a certain number of wafers are processed, after a certain amount of charge (e.g., measured in coulombs) is transferred during electrodeposition, or after a certain total amount or thickness of film has been deposited during electrodeposition.
Fig. 2A shows the top of an electroplating cell 200 with a plating sensor 201 mounted thereon. Fig. 2B shows a close-up cross-sectional view of the cup 202 shown in fig. 2A, including the bottom surface 203, the inner wall 204, and the lip seal 205. In fig. 2A, the dashed circle labeled 2B highlights the portion of the device shown in fig. 2B. Fig. 2C shows a close-up elevation view of cup 202, showing electrical contacts 210. During electroplating, the wafer is supported in cup 202 in a face down orientation. The position of cup 202 is controlled by a lift mechanism that raises and lowers cup 202 and the substrate relative to the electrolyte contained in plating cell 200. The plating cell 200 includes a drip shield 207 that is open at the top and bottom. After the wafer is loaded into cup 202, the lift mechanism lowers cup 202 through drip guard 207 prior to immersing the wafer in the electrolyte. In this example, the plated sensor 201 is mounted on a wall of the drip proof cover 27. The line of sight of the plated sensor 201 is labeled 218.
This positioning is advantageous for a number of reasons. For example, because the plating sensor 201 is mounted on the drip resistant cover 207, it is not affected by the plating chemistry. Such chemicals can affect the optical properties of the optical sensor, resulting in poor (e.g., non-repeatable) measurement quality. Moreover, exposure to such chemicals can shorten the life of the sensor. In this way, by removing plating sensor 201 from the vicinity of the plating chemistry, these chemistry related issues can be minimized or avoided. In some cases, the drip resistant cover 207 may provide a temporary or permanent physical barrier between the plating sensor 201 and the plating chemistry. For temporary physical barriers, baffles may be used. The shutter may remain closed while the plating is being performed and while the plating sensor is not being used at other times. For a permanent physical barrier, the drip resistant cover 207 may include a window through which the plated sensor 201 measures. In some other cases, the drip resistant cover 207 may include a cut through which the plating sensor 201 measures, wherein the cut does not provide any physical barrier between the plating sensor 201 and the plating chemistry. While the use of a physical barrier/window may enhance these advantages, the distance provided between the plating sensor 201 and the plating chemistry may be sufficient to achieve the above-described advantages.
In some embodiments, certain additional steps may be taken to reduce the likelihood of contamination of the plated sensor by the plating chemistry. In some cases, gas may flow past the plating sensor at times when contamination is more likely to occur (e.g., when sensing, or when the substrate holder is lifted from the plating position). In these or other cases, the plated sensor (or a portion thereof, such as a lens) may include a hydrophobic and/or oxidation resistant coating. These steps may further protect the plated sensor, thereby extending its useful life.
Another advantage of placing the plating sensor 201 on the drip proof cover 207 is that this placement achieves a relatively deep depth of focus for the plating sensor 201 (e.g., a plating detector that can detect unwanted deposits on the bottom surface of the cup from a short distance below the cup, or a plating detector on a swing arm that can detect unwanted deposits anywhere on the cup within a short distance, as described in U.S. patent application No.14/178,804, filed 2-12/2014 and incorporated by reference herein) as compared to a plating sensor placed next to the deposits it detects. As shown in fig. 2A. The plating sensor 201 detects deposits located on the entire plating cell opposite the plating sensor 201. The relatively large diameter of the plating cell results in a relatively large depth of focus of the plating sensor 201. A deeper depth of focus improves the quality of the measurement (e.g., is more repeatable) by reducing signal loss due to decentration of the wafer holding apparatus. In some cases, plating sensor 201 may be positioned on another portion of the device such that it is located in a similar position as shown in FIG. 2A. The drip resistant cover itself is not required, but in certain embodiments provides a convenient attachment point for the plated sensor.
In some cases, the distance between the plated sensor and the area it senses (typically on the lip seal opposite the plated sensor, as described further below) is at least about 200mm, or at least about 250mm, or at least about 300mm, or at least about 400mm, or at least about 450 mm. The distance may correspond approximately to the diameter of the wafer being plated. In many cases of plating substrates in a horizontal direction, the plating sensor senses the entire diameter of the plating cell such that the sensor beam is significantly in a more horizontal direction (e.g., within about 30 degrees of the horizontal direction) than the vertical direction.
Many different types of plated sensors may be used. Exemplary plating sensors include, but are not limited to, color-based sensors, intensity-based sensors, vision-based cameras/sensors (which may be used in conjunction with image recognition/classification methods to detect unwanted deposits), and any combination thereof. The plating sensor may be digital, analog, or some combination thereof. In a particular example, a plated sensor includes (1) optics for illuminating a sensor target area, and (2) optics for collecting signals from the illuminated sensor target area.
The optics used to illuminate the sensor target area typically include a light source, such as a Light Emitting Diode (LED), laser diode, lamp, or the like. In some cases, fiber optic cables may be used to direct light from the sensors onto the target area. The optics used to collect signals from the illuminated sensor target area typically include an optical sensor. The optical sensor may generate electricity when illuminated, for example in the case where the optical sensor is a photovoltaic or photoemission sensor. In other cases, such as when the optical sensor is a photo-resistor, photoconductor, or the like, the optical sensor may change electrical characteristics when illuminated. General examples of optical sensors include, but are not limited to, photo-photodiodes, photoresistors, photoconductors, proximity photosensors, photovoltaic photosensors, photo-emissive light sensors, and the like.
The area in which the plated sensor is aligned can be referred to as the sensor target area. In various embodiments, the sensor target area is an area on the lip seal and/or an area on an inner wall of the cup (e.g., inner wall 204 of fig. 2A). The sensor target area may be designed to provide a signal that contrasts with the signal provided by unwanted metal deposits, as discussed further below.
The optics for illuminating the sensor target area and the optics for collecting the signal from the sensor target area may be combined in a single element, or they may be provided separately from each other. In the examples shown in fig. 2A, 3A, 4D, 6A and 6B, the illumination and collection optics are provided together in a plated sensor ( elements 201, 301, 401 and 601). In some other cases, the plated sensor shown in the figures may include collection optics, while illumination optics are disposed elsewhere (e.g., anywhere that there is a line of sight to the sensor target area). For the reasons described above, it is advantageous to locate the collection optics (and in some cases the illumination optics) relatively far from the sensor target area.
In one embodiment, the plating sensor is a small spot size contrast sensor. Such sensors distinguish between areas of the device where unwanted plating is present and areas where unwanted plating is not present based on the strength of the signal received while illuminating each area of the device. In an example, the sensor target area on the lip seal and/or the inner wall of the cup may be white (or light colored) so that it provides a relatively strong signal to the contrast sensor when illuminated. In contrast, unwanted metal deposits tend to darken when illuminated and provide a relatively weak signal to the contrast sensor. A threshold signal can be identified to distinguish between areas where unwanted metal deposits are present and areas where unwanted metal deposits are not present.
Drying a sensor target area
After plating on the substrate, moisture typically remains on the lip seal and cup of the substrate holder for about 30 minutes. Moisture can affect the quality/repeatability of the measurement. To obtain high quality measurements without waiting for the device to dry, a dryer may be provided to quickly remove moisture from the sensor target area (and any other areas that need to be dried). The dryer may be incorporated into the cleaning assembly (e.g., on a cleaning arm that swings into place to clean the lip seal/cup), or may be provided on a separate mechanism (which may be provided on a swing arm similar to the cleaning arm, or other hardware). In various embodiments, the dryer includes a means for introducing a gas (e.g., N)2Inert gas, air, etc.) toward the sensor target area. In some cases, the dryer may dry the bottom of the cup in addition to drying the lip seal and/or the inner wall of the cup.
FIG. 3A shows a portion of an electroplating cell 300 having a plating sensor 301 mounted on a drip resistant housing 307, wherein a dryer 313 is incorporated into a cleaning assembly 311. The line of sight of the plating sensor 301 is labeled 318. In fig. 3A, cup 302 is shown in a cleaning position (e.g., lowered within anti-drip cup 307, above the electrolyte so that the cleaning arm can travel under cup 302 without being immersed in the electrolyte), which may be the same position as drying. The cleaning assembly 311 includes a swing arm 312, which is more clearly shown in FIG. 3B. In this example, the swing arm 312 of the cleaning assembly 311 includes a cleaning head 314, a gas line 315, and a nozzle 316. The gas line 315 and the nozzle 316 form a dryer 313. Additional fluid lines and nozzles may be provided on the swing arm 312 to deliver cleaning fluid. In a similar example, the cleaning head 314 is provided on a first swing arm and the dryer 313 is provided on a second swing arm (not shown). One or more swing arms are movable relative to the cup to allow the swing arms to clean and/or dry along the entire cup. In one embodiment, the cup rotates while the swing arm remains stationary. In another embodiment, the cup remains stationary as the swing arm rotates so that the swing arm surrounds the entire cup. In another embodiment, a combination of these motions is used.
In some cases, drying out the sensor target area may have a detrimental effect on the subsequent plating process performed on the wafer. In this case, the first wafer plated after drying/inspection may suffer from a "first wafer" effect, which results in significant non-uniformity compared to subsequently processed wafers. The subsequently processed wafers are subjected to different plating conditions compared to the first wafer, since the relevant areas of the apparatus are rewetted during the processing of the first wafer. The effect of the first wafer can be eliminated by simply rewetting the sensor target area (and any other areas that need to be wetted) before processing the first wafer after the inspection operation. In some cases, the cleaning assembly may be used to re-wet the device. In other cases, a separate fluid line/nozzle may be provided for this purpose.
Alignment of plated sensors
The plated sensor can be aligned so that it is focused on the sensor target area prior to detection. The plated sensors may be aligned for the first time at installation and then realigned each time they are used. An initial alignment can be performed to position the plating sensor on the drip-proof cover, and a subsequent alignment can be performed to position the substrate holder lift mechanism such that the sensor target area is aligned with the plating sensor.
In certain examples, the plating sensor may be mounted on the drip resistant cover using adjustable mounting hardware that enables relative movement between the plating sensor and the drip resistant cover. Examples of such hardware include screws, rods, snaps, fasteners, and the like. In one embodiment, the plated sensor is mounted on the drip shield using one or more screws that control the relative vertical position of the plated sensor on the drip shield. By rotating the screw in one way or another, the plated sensor moves up or down on the drip proof shield. In these or other embodiments, the plated sensor may be mounted on the drip resistant cover using one or more screws that control the relative horizontal/circumferential position of the plated sensor on the drip resistant cover. By rotating the screw in one way or another, the plated sensor can be moved left and right around the drip shield. Any adjustable accessory hardware may be used. The plating sensor may be positioned to sense through a cutout or window in the drip resistant cover. After the plating sensor is properly placed on the drip shield, the plating sensor can be aligned with the sensor target area using spare hardware (e.g., a lift mechanism that controls the position of the substrate holder) whenever alignment of these elements is required during processing.
As noted above, in some cases, the sensor target area is an area on the lip seal and/or an area on the inner wall of the cup. In certain embodiments, the sensor target area is on the lip seal and has a height comparable to or less than the height of the lip seal. In another embodiment, the sensor target area is on an inner wall of the cup and has a height that is comparable to or less than the height of the inner wall of the cup. In yet another embodiment, the sensor target area is on both the lip seal and the inner wall of the cup and has a height that is comparable to or less than the combined height of the lip seal and the inner wall of the cup. The use of a smaller sensor target area minimizes background noise in the collected data.
Fig. 4A-4D depict an alignment fixture 420 having a cutout 421. Fig. 4A shows a front view of alignment jig 420, and fig. 4B shows a side view of alignment jig 420. FIG. 4C depicts a side view of alignment fixture 420 mounted on cup 402, and FIG. 4D shows a top view of alignment fixture 420 mounted on cup 402 opposite plating sensor 401. The line of sight of the plating sensor 401 is labeled 418. Alignment jig 420 is positioned on cup 402 such that cutout 421 is aligned with line of sight 418 from plating sensor 401, as shown in FIG. 4C. The location of the cut-outs 421 determines the location of the sensor target area. For example, if the desired sensor target area is on the lip seal of cup 402, then notch 421 may be positioned relatively high, and if the desired sensor target area is on the inner wall of cup 402, then notch 421 may be positioned relatively low (because the inner wall of the cup is below the lip seal of the cup).
In one example, the alignment fixture may be fixedly mounted to the cup such that the alignment fixture and the cup do not move relative to each other. In this case, an alignment fixture may be used to align the plated sensor with the sensor target area at the initial stage of each inspection process. After this initial alignment, a lift mechanism for the substrate holder assembly holds the cup at a desired alignment height while rotating the cup. This rotation enables the plated sensor to detect along the entire lip seal and/or inner wall of the cup. As the cup rotates, the alignment fixture rotates. In another example, the alignment fixture may be mounted on the cup such that the cup moves relative to the alignment fixture. For example, the cup may rotate/slide under the alignment fixture. In this case, the alignment fixture may remain aligned with the line of sight of the plating sensor throughout the testing process, while the cup is rotated under the alignment fixture so that the entire lip seal and/or inner wall of the cup may be evaluated by the plating sensor. In various embodiments, the alignment fixture may be removably mounted on the cup. The alignment fixture can be installed and removed as needed. For example, the alignment fixture may be mounted on the cup after the apparatus is used to plate one or more substrates. The alignment fixture may remain mounted until the substrate holder/sensor target area is aligned with the plating sensor, or until after detection is complete. At this point, the alignment jig may be removed. The mounting and removal of the alignment fixture may be repeated as needed while processing other substrates. By removably mounting the alignment fixture, it can be ensured that the alignment fixture does not interfere with the electroplating process.
The alignment fixture may be designed such that its front side provides a strong contrast signal depending on which portion of the alignment fixture is aligned with the plating sensor. Such contrast may include differences in absorption, reflection, scattering, etc. When the alignment fixture/substrate holder/sensor target area is properly aligned with the plating sensor, a first signal will be generated; when the alignment fixture/substrate holder/sensor target area is misaligned with the plating sensor, a second signal will be generated that contrasts with the first signal. In one example, the alignment fixture includes a first portion (e.g., having a height equal to or less than the sensor target area) that is positioned in a line of sight between the plating sensor and the sensor target area when the alignment fixture is installed, and a second portion disposed vertically above and/or below (in some cases surrounding) the first portion, wherein the first portion and the second portion contrast with each other with respect to a property measured by the plating sensor. For example, the first portion may be white and the second portion may be black (any distinguishable set of colors/properties may be used). Alternatively, the first portion of the alignment fixture may be a cutout that allows the plating sensor to sense a property directly on the sensor target area. In one example where the first portion of the alignment fixture is a cutout, the sensor target area (e.g., the lip seal and/or the inner wall of the cup) is white, while the front face of the alignment fixture is black. Other contrasting combinations of colors/characteristics may also be used. With respect to fig. 4A-4C, the first portion may be the cutout 421 and the second portion may be the remaining area of the front face of the alignment fixture 420. In a similar example, the cutout 421 may be replaced by an area (e.g., a white area) that contrasts with the remaining area of the front face of the alignment fixture. In general, the signal indicative of alignment of the alignment fixture/substrate holder/sensor target area with the plating sensor may be a signal from the surface of the alignment fixture or from the surface of the sensor target area.
To align the sensor target area with the plating sensor, the plating sensor takes a series of measurements as the cup moves through different vertical positions. In some cases, this may be done automatically. The cup can be moved using a lift mechanism that controls the vertical position of the substrate holder assembly. The alignment position is then set according to the maximum (or minimum) strength of the received signal. In this position, the line of sight of the plating sensor is aligned with the first portion of the alignment fixture, which means that the plating sensor is also vertically aligned with the sensor target area. This example assumes that the plated sensor is a contrast sensor, although similar alignment methods can be used for other types of sensors. In a similar embodiment, the cup may remain stationary and the position of the plating sensor may be adjusted to align its line of sight with the first portion of the alignment fixture.
The alignment fixture may be made of a number of different materials. In many cases, the alignment fixture may be made of a thermoplastic material. Exemplary materials include, but are not limited to, polycarbonate, Acrylonitrile Butadiene Styrene (ABS), polypropylene, polyvinylidene fluoride (PVDF), Polytetrafluoroethylene (PTFE), polyethylene terephthalate (PET), polyphenylene sulfide (PPS), polyphenylsulfone (PPSF), fluoroelastomers (FKM elastomers), and blends/alloys/bonded components of these materials. There are many types of ABS materials, including ABS-M30 (which is stronger than standard ABS), ABS-ESD7 (static dissipative acrylonitrile butadiene styrene, which is a static dissipative material), and the like. Other exemplary thermoplastic materials include Ultem 9085, polycarbonate ISO, polycarbonate-ABS blends, and the like. In many embodiments, the alignment fixture may be fabricated by three-dimensional printing techniques. These techniques may involve preparing a computer-based three-dimensional model of the alignment model, heating the printing material (e.g., any of the thermoplastic materials described above) to an elevated temperature, and dispensing the heated printing material according to the three-dimensional model to form the alignment jig.
Exemplary flow chart
Fig. 5 is a flow chart illustrating a method of processing a substrate while periodically or intermittently detecting the presence of unwanted metal deposits on the substrate holder. The method begins at operation 500, where it is verified that a plated sensor is working at operation 500. The verification may include moving the substrate holder relative to the plating sensor such that the plating sensor receives two distinguishable signals. These distinguishable signals ensure that the plated sensors are properly connected and functioning properly. If the sensor can only detect one signal level, it may indicate that the sensor cable is not properly connected, or that the plated sensor is not functioning properly.
Fig. 6A and 6B illustrate a portion of an electroplating apparatus in two positions that may be used during validation in operation 500 of fig. 5. The electroplating apparatus includes a drip proof cover 607 on which the plating sensor 601 is mounted. The line of sight of the plating sensor 601 is labeled 618. In fig. 6A, cup 602 and plating sensor 601 are in a first relative position in which a line of sight of plating sensor 601 passes beneath cup 602. In fig. 6B, the cup 602 and the plated sensor 601 are in a second relative position, wherein the line of sight of the plated sensor 601 impinges on a sensor target area on the cup (e.g., on the lip seal and/or on an inner wall of the cup). The plating sensor 601 should measure distinguishable signals at the two locations shown in fig. 6A and 6B. In one example where the plated sensor is a digital contrast sensor, the sensor output reads "on" in the first relative position of FIG. 6A and "off" in the second relative position of FIG. 6B (or vice versa).
In some cases, where the apparatus includes an alignment fixture as described with reference to fig. 4A-4D, the verification in operation 500 of fig. 5 may be accomplished by: the cup/alignment fixture is moved relative to the plating sensor such that (1) at a first relative position, the line of sight of the plating sensor passes through the cutout on the alignment fixture to impinge on the sensor target area, and (2) at a second relative position, the line of sight of the plating sensor either impinges on the front surface of the alignment fixture in an area outside the cutout, or passes under the cup as shown in fig. 6A. In this way, it can be verified that the plated sensor and sensor target area are properly aligned and functional. If the plating sensor fails to detect a difference between the signal received at the first relative position and the signal received at the second relative position, it is an indication that the plating sensor is faulty and should be inspected, repaired or replaced.
After verification in operation 500, in operation 501, the cup is positioned in the detection position and the plated sensor is then scanned over the sensor target area by rotating through a full revolution, thereby performing a baseline scan. In the case of using an alignment fixture, for example, as depicted in fig. 4A-4D, positioning the cup in the inspection position may involve the alignment process described above. For example, the cup may be moved to a position that enables a maximum signal to be obtained at the plating sensor that indicates that the line of sight of the plating sensor is aligned with the cut-out on the alignment fixture (and thus with the lip seal and/or the sensor target area on the inner wall of the cup).
In many cases, the plated sensor is mounted on the drip shield and remains substantially stationary during the measurement. In this case, the substrate holder may be rotated so that the plating sensor may scan along the entire length of the sensor target area (e.g., along the entire lip seal and/or inner wall of the cup). In certain other cases, the plating sensor may be moved while the substrate holder remains stationary to enable scanning of the entire sensor target area. A baseline scan may be useful for comparison with future scans. For example, operation 501 may be performed intermittently when a new lip seal or cup is installed.
In operation 503, a new wafer is loaded into the plating bath of the plating apparatus, processed, and then removed from the plating bathAnd removing the electroplating tank of the equipment. In operation 505, it is determined whether to begin detecting unwanted metal deposits. In the event that detection at operation 505 is not required, the method may repeat at operation 503 where additional wafers are loaded, processed, and then removed. In the event that detection is required at operation 505, the method continues at operation 507 where the cup is placed at a location where drying occurs and the sensor target area is dried at operation 507. A lift mechanism that controls the vertical position of the substrate holder assembly can be used to control the position of the cup. Fig. 3A and 3B show cup 302 in a dry position according to one embodiment. In these examples, when the cup 302 is in the dry position, it is located within or below the drip resistant cover 307. Cup 302 is positioned high enough to allow swing arm 312 to pass under it. The swing arm 312 includes a dryer 313 for drying the sensor target area. Dryer 313 can deliver a gas flow (e.g., N) to a sensor target area2Inert gas, air, etc.) to dry the region. Cup 302 rotates relative to dryer 313 so that the entire length/circumference of the sensor target area can be dried.
Returning to FIG. 5, the method continues at operation 509, where at operation 509, it is verified that the plated sensor is working. The verification at operation 509 is similar to the verification at operation 500, and the description will not be repeated for the sake of brevity. Next, in operation 511, the cup is positioned in a detection position and then rotated relative to the plating sensor while detecting the presence of unwanted metal deposits in the sensor target area using the plating sensor. Positioning the cup at the detection position in operation 511 is similar to positioning the cup at the detection position in operation 501, and for the sake of brevity, the description will not be repeated. Similarly, in operations 511 and 500, the relative rotation between the plating sensor and the cup is similar, and thus the description will not be repeated. The detection in operation 511 is similar to the baseline scan detection in operation 501, except that unwanted metal deposits may be present. The plating sensor distinguishes between areas where unwanted plating is present and areas where unwanted plating is not present based on the signal received from each area.
In operation 515, it is determined whether the detection should be repeated. In some cases, it may be beneficial to confirm the detection by running a second scan. If duplicate detection is desired, the method repeats beginning with operation 511. If duplicate testing is not required, the method continues with operation 517 where the test results are analyzed. In some cases, data from the plating sensor may be analyzed to determine (1) the length of each unwanted metal deposit and/or the length of the longest unwanted metal deposit, and/or (2) the percentage of the target area of the sensor that is covered by the unwanted metal deposits. These values may be compared to specifications in operation 519. The specification may set a threshold for an acceptable amount of unwanted plating (e.g., may accept deposits below a certain length, and/or may accept sensor target areas that may be plated in a certain percentage). These thresholds are specific to each application and may be empirically determined in some cases. In one example, the threshold maximum deposit length is about 0.5cm or about 1cm (deposits greater than this length are out of specification). In these or other examples, the threshold percentage of sensor target area plated may be about 10% or about 20% (percentages greater than these values are out of specification).
In the event that the result is not within specification in operation 519, this means that too much unwanted metal is deposited on the sensor target area (e.g., on the lip seal and/or the inner wall of the cup). In such a case, the method may continue to operation 523 where some remedial action is taken. Various possible remedial actions include, but are not limited to, (1) issuing an alarm or otherwise alerting the operator; (2) taking the associated plating cell offline and temporarily preventing further processing of the wafer therein; (3) rerouting the wafer to other available wafer plating baths, (4) (e.g., using a manual or automated cleaning method) target cleaning a portion of the inner wall of the lip seal and/or cup, (5) (e.g., using a manual or automated cleaning method) full cleaning of the entire inner wall of the lip seal and/or cup, (6) rescanning the sensor target area to confirm whether the target cleaning or full cleaning was successful, (7) replacing the lip seal and/or cup if necessary, and (8) marking and/or inspecting the treated suspect wafer and then immediately detecting unwanted metal deposits.
In the event that the results are within specification in operation 519, the test results indicate that the sensor target area (e.g., the lip seal and/or the inner wall of the cup) is still sufficiently clean. In this case, the method may continue with operation 521, in which the sensor target area (and any other relevant parts of the device) is rewetted. This re-wetting may be accomplished by delivering a fluid (e.g., water, deionized water, electrolyte, etc.) to the lip seal and/or the inner wall of the cup. This re-wetting reduces or avoids the first wafer effect that results from electroplating on a device that includes portions that are wetted by electroplating. After re-wetting the relevant portions of the apparatus, the method continues at operation 503, where a new wafer is loaded, processed, and then removed from the electroplating apparatus. The method may be repeated any number of times to process any number of substrates.
In some embodiments, many of the operations shown in fig. 5 may be omitted. In one example, the detection method involves only operations 511, 517, and 519. The remaining operations may be included or omitted in any combination. Such operations may improve the method, leading to more reliable results and improved plating conditions, but are not necessary to practice the disclosed embodiments.
Fig. 7A and 7B provide experimental results in which a plating sensor is used to detect unwanted metal deposits on the cups of the substrate holder. In this example, the plated sensor is a digital contrast sensor. With respect to fig. 7A, the graph depicts signals received by the plating sensor at different angular positions on the substrate holder. In this example, a 5V signal indicates the presence of unwanted metal deposits, while a 0V signal indicates the absence of unwanted metal deposits. Figure 7B shows a table showing the results of measurements (percentage of the target area of the sensor that is plated with unwanted metal deposits) for a particular electroplating apparatus scanned 10 times. No changes to the electroplating apparatus were made between different scans. The scan is repeated to determine if the measurement is reliable. As shown in fig. 7B, the results are reliable, showing a standard deviation of only 0.15%. These results indicate that the disclosed method can be used to reliably detect the presence/extent of the presence of unwanted metal deposits on the target area of the sensor.
Data from the plating sensor (such as the data shown in fig. 7A) may be combined with information from the rotary shaft encoder to associate the plating sensor data with a particular portion of the sensor target area. The rotary shaft encoder converts the angular position (e.g., along the substrate/cup) to an analog or digital code, thereby enabling a user to reliably specify a particular region of the substrate/substrate holder. By combining the plating sensor data with information from the rotary shaft encoder, the user can accurately determine problematic areas on the cup. In some cases, this location-specific data may be used to trigger targeted cleaning of the affected area.
In addition, the location-specific data can be correlated to wafer properties. In one example, the location-specific data is correlated to wafer uniformity data. This correlation can be used to identify useful trends. In some cases, first principles models, machine learning algorithms, and the like are applied to the data in order to predict the useful life of the lip seal and/or to predict when the lip seal should be serviced (e.g., cleaned, manually cleaned, replaced, etc.). These models may be applied to each plating cell in a multi-station plating apparatus, such as the multi-station plating apparatus described below.
Device
The methods described herein may be performed by any suitable apparatus. According to an embodiment of the present invention, a suitable apparatus includes hardware for performing processing operations and a system controller having instructions for controlling the processing operations. For example, in some embodiments, the hardware may include one or more processing stations included in a processing tool.
Fig. 8 shows an example of a plating bath in which plating may occur. Fig. 8 does not show the plating sensor described above, but it should be understood that the apparatus shown in fig. 8 can be modified to include a plating sensor, for example, on a drip shield that fits with/over plating bath 803. Electroplating apparatuses typically include one or more electroplating cells in which a substrate (e.g., a wafer) is processed. For clarity, only one plating cell is shown in FIG. 8. To optimize bottom-up plating, additives (e.g., accelerators, suppressors, and levelers) are added to the electrolyte; however, the electrolyte with the additive may react with the anode in an undesirable manner. Thus, the anode and cathode regions of the plating cell are sometimes separated by a membrane, so that plating solutions of different compositions can be used in each region. The plating solution in the cathodic region is referred to as the catholyte; and the plating solution in the anodic region is referred to as the anolyte. A number of engineering designs may be used to introduce the anolyte and catholyte into the electroplating apparatus.
Referring to fig. 8, a schematic cross-sectional view of an electroplating apparatus 801 according to an embodiment is shown. Plating bath 803 contains a plating solution (having a composition provided herein), which is shown at liquid level 805. The catholyte portion of the container is adapted to receive the substrate in a catholyte solution. The wafer 807 is immersed in the plating solution and held by, for example, a "flip-top" substrate holder 809 mounted on a rotatable spindle 811, such that the flip-top substrate holder 809 can rotate with the wafer 807. General descriptions of flip-type electroplating apparatus having aspects suitable for use with the present invention are described in U.S. patent 6,156,167 to pattern et al and U.S. patent No.6,800,187 to Reid et al, which are incorporated herein by reference in their entirety.
An anode 813 is disposed beneath the wafer within the plating bath 803 and separated from the wafer region by a membrane 815 (preferably an ion selective membrane). For example, a Nafion Cation Exchange Membrane (CEM) may be used. The area under the anodic membrane is commonly referred to as the "anode chamber". The ion selective anodic film 815 enables the anode region and cathode of the plating cellThe zones are in ionic communication with one another while preventing particles generated at the anode from entering a location near the wafer and contaminating the wafer. Anodic films are also useful in redistributing current flow during electroplating and thereby improving plating uniformity. A detailed description of suitable anodic films is provided in U.S. patents 6,126,798 and 6,569,299 to Reid et al, both of which are incorporated herein by reference in their entirety. Ion exchange membranes, such as cation exchange membranes, are particularly suitable for these applications. These membranes are typically made of ionomeric materials, such as perfluorinated copolymers (e.g., Nafion (r)) containing sulfonic acid groupsTM) Sulfonated polyimides, and other materials known to those skilled in the art to be suitable for cation exchange. Selecting suitable NafionTMExamples of films include N324 and N424 films available from Dupont de Nemours co.
During the electroplating process, ions from the plating solution are deposited on the substrate. Metal ions must diffuse through the diffusion boundary layer and into the TSV hole or other feature. One typical way to assist diffusion is by convective flow of the plating solution provided by pump 817. Alternatively, vibratory or sonic agitation means may be used, as well as wafer rotation. For example, the vibration transducer 808 may be attached to a flip-type substrate holder 809.
The plating solution is continuously supplied to the plating bath 803 via pump 817. Typically, the plating solution flows upward through anode membrane 815 and diffuser plate 819 to the center of wafer 807 and then radially outward and across wafer 807. Plating solution may also be provided to the anode region of the plating bath 803 from the side of the bath. The plating solution then overflows the plating bath 803 into an overflow reservoir 821. The plating solution is then filtered (not shown) and returned to the pump 817 for complete recirculation of the plating solution. In certain configurations of plating cells, different electrolytes are circulated through portions of the plating cell containing the anode therein, while carefully using permeable or ion-selective membranes to prevent mixing with the main plating solution.
The reference electrode 831 is located outside the plating bath 803 in a separate chamber 833, which is replenished by overflowing from the main plating bath 803. Alternatively, in some embodiments, the reference electrode is positioned as close as possible to the substrate surface, and the reference electrode chamber is connected to the side of or directly below the wafer substrate via a capillary or by other means. In some preferred embodiments, the apparatus further comprises contact sense leads connected to the wafer periphery and configured to sense the potential of the metal seed layer at the wafer periphery, but not carry any current to the wafer.
When electroplating at a controlled potential is desirable, a reference electrode 831 is typically employed. The reference electrode 831 can be one of various commonly used types, such as a mercury/mercury sulfate electrode, a silver chloride electrode, a saturated calomel electrode, or a copper electrode. In some embodiments, a contact sensing lead in direct contact with wafer 807 may be used in addition to the reference electrode to enable more accurate potential measurements (not shown).
A dc power supply 835 may be used to control the current flowing to wafer 807. The power supply 835 has a negative output lead 839 electrically connected to the wafer 807 through one or more slip rings, brushes, and contacts (not shown). The positive output lead 841 of the power supply 835 is electrically connected to an anode 813 located in the plating bath 803. The power supply 835, the reference electrode 831, and a contact sensing lead (not shown) can be connected to the system controller 847, enabling, among other things, the adjustment of the current and potential supplied to the elements of the plating cell. For example, the controller may allow electroplating in a potential controlled and current controlled state. The controller may include program instructions that specify the current and voltage levels that need to be applied to the various elements of the plating cell and the times at which these levels need to be changed. The power supply 835 applies a bias to the wafer 807 to have a negative potential with respect to the anode 813 when applying a forward current. This causes current to flow from the anode 813 to the wafer 807 and an electrochemical reduction reaction (e.g., Cu)2++2e-=Cu0) Occurs on the wafer surface (cathode) resulting in the deposition of a conductive layer (e.g., copper) on the surface of the wafer. An inert anode 814 may be mounted below the wafer 807 within the plating bath 803 and separated from the wafer region by a membrane 815.
The apparatus may further include a heater 845 for maintaining the temperature of the plating solution at a specific level. The plating solution can be used to transfer heat to other components in the plating bath. For example, when the wafer 807 is loaded into the plating bath, the heater 845 and pump 817 can be turned on to circulate the plating solution through the plating apparatus 801 until the temperature becomes substantially uniform throughout the apparatus. In one embodiment, the heater is connected to the system controller 847. The system controller 847 may be connected to the thermocouples to receive feedback on the temperature of the plating solution within the plating apparatus and determine the need for additional heating.
The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, and the like. In certain embodiments, the controller controls all activities of the electroplating apparatus. A non-transitory machine-readable medium containing instructions for controlling the operation of a process according to embodiments herein may be coupled to a system controller.
There will typically be a user interface associated with the controller 847. The user interface may include a display screen, a graphical software display of equipment and/or process conditions, and a user input device, such as a pointing device, keyboard, touch screen, microphone, and the like. The computer program code for controlling the electroplating process can be written in any conventional computer readable programming language, such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program. One example of a plating apparatus that may be used in accordance with embodiments herein is the Lam Research Saber tool. Electrodeposition may be performed in a component forming a larger electrodeposition apparatus.
Fig. 9 shows a schematic top view of an exemplary electrodeposition apparatus. The electrodeposition apparatus 900 can include three separate electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 may also include three separate modules 912, 914 and 916 configured for various processing operations. For example, in some embodiments, one or more of modules 912, 914, and 916 may be a Spin Rinse Dry (SRD) module. In other embodiments, one or more of the modules 912, 914 and 916 may be post-electro-fill modules (PEM), each configured to perform operations on the substrate, such as bevel edge removal, backside etching and acid cleaning, after the substrate has been processed by one of the electroplating modules 902, 904 and 906.
The electrodeposition apparatus 900 includes a central electrodeposition chamber 924. The central electrodeposition chamber 924 is a chamber that holds a chemical solution that is used as a plating solution in the electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 further includes a dosing system 926, the dosing system 926 can store and deliver additives for the electroplating solution. The chemical dilution module 922 may store and mix chemicals to be used as etchants. A filtration and pumping unit 928 may filter the plating solution from the central electrodeposition chamber 924 and pump it to the plating module.
The system controller 930 provides the electronic and interface controls necessary to operate the electrodeposition apparatus 900. A system controller 930 (which may include one or more physical or logical controllers) controls some or all of the attributes of the electroplating apparatus 900.
Signals for monitoring the process may be provided from various process tool sensors through analog and/or digital input connections of the system controller 930. The signals for controlling the process may be output through analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that can be monitored include mass flow controllers, pressure sensors (e.g., pressure gauges), thermocouples, optical position sensors, and the like. Suitably programmed feedback and control algorithms can be used with data from these sensors to maintain process conditions.
A hand-off tool 940 may select substrates from a substrate cassette, such as cassette 942 or cassette 944. The pods 942 or 944 may be Front Opening Unified Pods (FOUPs). A FOUP is a housing designed to reliably and safely hold substrates in a controlled environment and to enable the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and automated handling systems. The transfer tool 940 may hold the substrate using a vacuum attachment or some other attachment mechanism.
The transfer tool 940 may be coupled to a wafer handling station 932, a cassette 942 or 944, a transfer station 950, or an aligner 948. The transfer tool 946 can obtain a substrate from the transfer station 950. The transfer station 950 may be a slot or location and the transfer tools 940 and 946 may transfer substrates to and from the transfer station 950 without passing through the aligner 948. However, in some embodiments, to ensure that the transfer tool 946 is properly aligned with the substrate for accurate transfer to the plating module, the transfer tool 946 can align the substrate with the aligner 948. The transfer tool 946 can also transfer the substrate to one of the electroplating modules 902, 904, or 906 or to one of three separate modules 912, 914, and 916 configured for various processing operations.
An example of the process operation according to the above method may be carried out as follows: (1) electrodepositing copper or another material onto the substrate in the electroplating module 904; (2) rinsing and drying the substrate in the SRD of block 912; and (3) performing edge bevel removal in block 914.
An apparatus configured to enable efficient cycling of substrates sequentially through electroplating, rinsing, drying, and PEM processing operations may be used for implementations used in a manufacturing environment. To accomplish this, the module 912 may be configured as a rotary rinse dryer and chamfered edge removal chamber. With such a module 912, the substrate would only need to be transferred between the electroplating module 904 and the module 912 for copper plating and EBR operations. In some embodiments, the methods described herein will be implemented in a system comprising an electroplating apparatus and a stepper.
Fig. 10 schematically illustrates an alternative embodiment of an electrodeposition apparatus 1000. In the present embodiment, the electrodeposition apparatus 1000 has grouped plating tanks 1007 each containing paired or multiple "dual" configured plating baths. In addition to electroplating itself, the electrodeposition apparatus 1000 may also perform various other electroplating-related processes and sub-steps, such as, for example, spin rinsing, spin drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treatment, reduction, annealing, photoresist stripping, and surface pre-activation. In FIG. 10, the electrodeposition apparatus 1000 is shown schematically as viewed from top to bottom, with only a single horizontal layer or "The backplane is "exposed" in the figure, but it should be readily understood by one of ordinary skill in the art that such devices, for example, Sabre by NovellusTMThe 3D tool may have two or more horizontal layers "stacked" up and down, each layer possibly having the same or different type of processing station.
Referring again to fig. 10, the substrate 1006 to be electroplated is typically fed to the electrodeposition apparatus 1000 by a front end load FOUP 1001, and in this embodiment, brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1000 via a front end robot 1002, the front end robot 1002 may retract and move the substrate 1006 driven by the spindle 1003 in multiple dimensions from one of the accessible stations to the other, in this embodiment, two front end accessible stations 1004 and two front end accessible stations 1008 are shown. The front-end accessible stations 1004 and 1008 may include, for example, a pre-treatment station and a Spin Rinse Dry (SRD) station. Lateral movement from one side of the front end robot 1002 to the other is accomplished using the robot rail 1002 a. Each of the substrates 1006 may be held by a cup/cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown) that may be attached to a mounting bracket 1009. Also shown in this embodiment are four "double" plating cells 1007, for a total of eight plating cells 1007. A system controller (not shown) may be coupled to the electrodeposition apparatus 1000 to control some or all of the properties of the electrodeposition apparatus 1000. The system controller may be programmed or otherwise configured to execute instructions according to the processes described previously herein.
System controller
In some implementations, the controller is part of a system that may be part of the embodiments described above. Such systems may include semiconductor processing equipment including one or more processing tools, one or more processing chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. The electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the process requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems. In a particular example, the system controller controls the position of the substrate holder. The system controller can suitably instruct the lift mechanism to position the substrate holder in the plating position, the cleaning position, the drying position, and/or the detection position. The system controller may also instruct the plating sensor to take measurements as needed to perform the methods described herein. The system controller may also instruct the apparatus to dry the sensor target area before taking measurements using the plating sensor and/or to re-wet the sensor target area after taking measurements using the plating sensor and before processing a new wafer.
Broadly, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of instructions (or program files) that are transmitted to the controller in various separate settings that define the operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more process steps during the preparation of one or more layer(s), material(s), metal(s), oxide(s), silicon dioxide, surface(s), circuit(s) and/or die(s) of a wafer.
In some implementations, the controller may be part of or coupled to a computer that is integrated with, coupled to, or otherwise connected to the system via a network, or a combination thereof. For example, the controller may be in the "cloud" or be all or part of a fab (fab) host system, which may allow remote access to the wafer process. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or begin a new process. In some embodiments, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that allows parameters and/or settings to be input or programmed, which are then communicated from the remote computer to the system. In some embodiments, the controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controllers may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). Embodiments of a distributed controller for these purposes may be one or more integrated circuits on the chamber that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that are incorporated to control processes on the chamber.
Exemplary systems may include, without limitation, a plasma etch chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an Atomic Layer Deposition (ALD) chamber or module, an Atomic Layer Etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.
As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a mainframe, another controller, or tools used in the handling of the containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.
The various hardware and method embodiments described above may be used in conjunction with a lithographic patterning tool or process, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these tools/processes will be used or operated together in a common manufacturing facility.
Photolithographic patterning of films typically includes some or all of the following steps, each step enabling multiple viable tools: (1) coating a photoresist on a workpiece, i.e., a substrate having a silicon nitride film formed thereon, using a spin coating or spray coating tool; (2) curing the photoresist using a hot plate or oven or other suitable curing tool; (3) exposing the photoresist to visible or ultraviolet light or x-rays using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench or jet developer; (5) transferring the resist pattern to an underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper. In some embodiments, an ashable hardmask layer (e.g., an amorphous carbon layer) and another suitable hardmask (e.g., an antireflective layer) may be deposited prior to applying the photoresist.
It will be appreciated that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. Accordingly, various operations illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Similarly, the order of the above-described processes may be changed. Certain references have been incorporated herein by reference. It should be understood that any disclaimer or disclaimer made in these references is not necessarily applicable to the embodiments described herein. Similarly, any features described in these references as needed may be omitted in embodiments herein.
The subject matter of the present disclosure includes all novel and nonobvious combinations and subcombinations of the various processes, systems and configurations, and other features, functions, operations, and/or properties disclosed herein, as well as any and all equivalents.

Claims (21)

1. An electroplating apparatus, comprising:
an electrolyte container configured to hold an electrolyte during electroplating;
a substrate holder configured to support a substrate during electroplating, wherein the substrate holder is ring-shaped and supports the substrate at its periphery, the substrate holder comprising a sensor target area; and
a plating sensor comprising a light source aimed at the sensor target area, wherein the plating sensor distinguishes between (i) areas on the sensor target area where unwanted metal deposits are present and (ii) areas on the sensor target area where unwanted metal deposits are not present.
2. The electroplating apparatus of claim 1, wherein the substrate holder comprises a cup comprising a bottom surface and an inner wall, and a lip seal, wherein the lip seal is located on top of the inner wall of the cup.
3. The electroplating apparatus of claim 2, wherein the sensor target area is on the lip seal.
4. The electroplating apparatus of claim 2, wherein the sensor target region is on the inner wall of the cup.
5. The electroplating apparatus of claim 4, wherein the sensor target area is on both the inner wall of the cup and the lip seal.
6. The electroplating apparatus of any of claims 1-5, further comprising a drip resistant shield, wherein the plating sensor is located on the drip resistant shield.
7. The electroplating apparatus of claim 6, wherein the drip resistant cover comprises a wall and a central opening through which the substrate holder fits.
8. The electroplating apparatus of any of claims 1-7, wherein the plating sensor is a color-based sensor, an intensity-based sensor, or a camera.
9. The electroplating apparatus of any of claims 1-8, further comprising an alignment fixture mounted on the substrate holder, the alignment fixture comprising a first portion and a second portion, wherein the first portion and the second portion are distinguishable with respect to a property measured by the plating sensor.
10. The electroplating apparatus of claim 9, wherein the substrate holder comprises a cup comprising a bottom surface and an inner wall, and a lip seal positioned on top of the inner wall of the cup, wherein the first portion of the alignment fixture is proximate to the lip seal such that the plating sensor detects the presence of a metal deposit on the lip seal.
11. The electroplating apparatus of claim 9, wherein the substrate holder comprises a cup comprising a bottom surface and an inner wall, and a lip seal positioned on top of the inner wall of the cup, wherein the first portion of the alignment fixture is proximate to the inner wall of the cup such that the plating sensor detects the presence or absence of a metal deposit on the inner wall of the cup.
12. The plating apparatus as recited in any one of claims 1 to 11, further comprising a dryer that dries said sensor target area.
13. The electroplating apparatus of claim 12, further comprising a controller having executable instructions to dry the sensor target area prior to using the plating sensor to detect the presence of unwanted metal deposits.
14. The electroplating apparatus of any of claims 1 to 13, wherein the substrate holder is rotatable relative to the plating sensor.
15. The electroplating apparatus according to any one of claims 1 to 4, further comprising an inlet configured to deliver fluid to the sensor target area.
16. The electroplating apparatus of claim 15, further comprising a controller having executable instructions to wet the sensor target area with a fluid after detecting the presence of an unwanted metal deposit in the sensor target area using the plating sensor and before electroplating on a new substrate using the electroplating apparatus.
17. A drip resistant cover for an electroplating apparatus, the drip resistant cover comprising:
a peripheral wall having a diameter substantially similar to a diameter of an electrolyte container in the electroplating apparatus;
a central opening through which a substrate holder of the electroplating apparatus can fit, the central opening being defined by the peripheral wall; and
a plated sensor mounted on the peripheral wall.
18. The anti-drip shield of claim 17, wherein the anti-drip shield comprises a flap proximate to the plating sensor, wherein the flap is closeable to physically separate the plating sensor from the electrolyte reservoir of the electroplating apparatus.
19. The anti-drip shield of claim 17, wherein the anti-drip shield comprises a window proximate the plated sensor, wherein the plated sensor is sensible through the window of the anti-drip shield.
20. The drip resistant cover of claim 17, wherein the drip resistant cover includes a peripheral opening in the peripheral wall proximate the plated sensor, wherein the plated sensor is sensible through the peripheral opening.
21. A method of detecting the presence of an unwanted metal deposit on a substrate holder of an electroplating apparatus, the method comprising:
positioning the substrate holder at a detection position, the substrate holder comprising a sensor target area;
operating a plating sensor comprising a light source to detect the presence of the unwanted metal deposit in the sensor target area, wherein the plating sensor and the sensor target area are located on opposite sides of the electroplating apparatus such that a line of sight of the plating sensor extends across the electroplating apparatus.
CN201880043013.3A 2017-06-29 2018-06-27 Remote detection of plating on wafer-holding equipment Pending CN110799833A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/638,131 2017-06-29
US15/638,131 US10416092B2 (en) 2013-02-15 2017-06-29 Remote detection of plating on wafer holding apparatus
PCT/US2018/039827 WO2019006009A1 (en) 2017-06-29 2018-06-27 Remote detection of plating on wafer holding apparatus

Publications (1)

Publication Number Publication Date
CN110799833A true CN110799833A (en) 2020-02-14

Family

ID=64741927

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880043013.3A Pending CN110799833A (en) 2017-06-29 2018-06-27 Remote detection of plating on wafer-holding equipment

Country Status (5)

Country Link
JP (1) JP7145893B2 (en)
KR (1) KR102654656B1 (en)
CN (1) CN110799833A (en)
TW (1) TW201920946A (en)
WO (1) WO2019006009A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023109463A1 (en) * 2021-12-14 2023-06-22 盛美半导体设备(上海)股份有限公司 Electroplating cavity skip plating warning method and system

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7100571B2 (en) * 2018-12-13 2022-07-13 株式会社荏原製作所 A method of building a predictive model that predicts the number of plates that can be plated, a method of building a selection model for predicting the components that cause defects, and a method of predicting the number of boards that can be plated.
WO2024042700A1 (en) * 2022-08-26 2024-02-29 株式会社荏原製作所 Substrate state measurement device, plating device, and substrate state measurement method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05271989A (en) * 1992-03-26 1993-10-19 Nippon Steel Corp Method for setting edgemask for steel strip of electrolytic treating device
US6071387A (en) * 1997-10-30 2000-06-06 Ohba; Kazuo Automatic plating method and apparatus thereof
CN2504283Y (en) * 2001-10-31 2002-08-07 宝山钢铁股份有限公司 Edge cover auto tracking steel strip appts. for horizontal plating bath
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
CN2655200Y (en) * 2003-06-20 2004-11-10 陈仁甫 Electromechanical digital and laser monitoring system
US20100259747A1 (en) * 2007-10-26 2010-10-14 Arkray, Inc. Sample detector and measurement device equipped with the same
CN103434647A (en) * 2013-09-11 2013-12-11 中国民航大学 Airplane residual ice monitoring device capable of eliminating environment interference
US20140230855A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
CN205501444U (en) * 2016-02-22 2016-08-24 东莞市希锐自动化科技股份有限公司 Automatic roll plate wire

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3937206B2 (en) * 1999-09-14 2007-06-27 エスアイアイ・ナノテクノロジー株式会社 Position control device
JP4453840B2 (en) * 2006-02-03 2010-04-21 Tdk株式会社 Electrode assembly and plating apparatus
US7894037B2 (en) * 2007-07-30 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20110217848A1 (en) * 2010-03-03 2011-09-08 Bergman Eric J Photoresist removing processor and methods
US8575028B2 (en) * 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9228270B2 (en) * 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
KR102092416B1 (en) * 2012-03-30 2020-03-24 노벨러스 시스템즈, 인코포레이티드 Cleaning electroplating substrate holders using reverse current deplating

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05271989A (en) * 1992-03-26 1993-10-19 Nippon Steel Corp Method for setting edgemask for steel strip of electrolytic treating device
US6071387A (en) * 1997-10-30 2000-06-06 Ohba; Kazuo Automatic plating method and apparatus thereof
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
CN2504283Y (en) * 2001-10-31 2002-08-07 宝山钢铁股份有限公司 Edge cover auto tracking steel strip appts. for horizontal plating bath
CN2655200Y (en) * 2003-06-20 2004-11-10 陈仁甫 Electromechanical digital and laser monitoring system
US20100259747A1 (en) * 2007-10-26 2010-10-14 Arkray, Inc. Sample detector and measurement device equipped with the same
US20140230855A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
CN103434647A (en) * 2013-09-11 2013-12-11 中国民航大学 Airplane residual ice monitoring device capable of eliminating environment interference
CN205501444U (en) * 2016-02-22 2016-08-24 东莞市希锐自动化科技股份有限公司 Automatic roll plate wire

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023109463A1 (en) * 2021-12-14 2023-06-22 盛美半导体设备(上海)股份有限公司 Electroplating cavity skip plating warning method and system

Also Published As

Publication number Publication date
KR102654656B1 (en) 2024-04-05
TW201920946A (en) 2019-06-01
WO2019006009A1 (en) 2019-01-03
JP7145893B2 (en) 2022-10-03
JP2020526660A (en) 2020-08-31
KR20200014440A (en) 2020-02-10

Similar Documents

Publication Publication Date Title
US10416092B2 (en) Remote detection of plating on wafer holding apparatus
JP6671411B2 (en) Detection of plating on wafer holding device
KR100824759B1 (en) Substrate processing apparatus and substrate plating apparatus
JP6433161B2 (en) TSV bath evaluation using field versus feature contrast
KR102255251B1 (en) Wafer processing system with chuck assembly maintenance module
TW201710570A (en) Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
TWI692555B (en) Bottom-up fill in damascene features
JP7145893B2 (en) Remote detection of plating on wafer holder
US10358738B2 (en) Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step
US11208732B2 (en) Monitoring surface oxide on seed layers during electroplating
CN116097077B (en) Leakage determination method and plating apparatus
CN117460866B (en) Plating device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination