CN110751000A - 一种超高频rfid标签的验证测试方法及装置 - Google Patents

一种超高频rfid标签的验证测试方法及装置 Download PDF

Info

Publication number
CN110751000A
CN110751000A CN201910904903.5A CN201910904903A CN110751000A CN 110751000 A CN110751000 A CN 110751000A CN 201910904903 A CN201910904903 A CN 201910904903A CN 110751000 A CN110751000 A CN 110751000A
Authority
CN
China
Prior art keywords
tag
tested
tag chip
testing
reader
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910904903.5A
Other languages
English (en)
Inventor
黄瑞
杨茂涛
刘谋海
胡军华
陈浩
申丽曼
吴志勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
State Grid Corp of China SGCC
State Grid Hunan Electric Power Co Ltd
Metering Center of State Grid Hunan Electric Power Co Ltd
Original Assignee
State Grid Corp of China SGCC
State Grid Hunan Electric Power Co Ltd
Metering Center of State Grid Hunan Electric Power Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by State Grid Corp of China SGCC, State Grid Hunan Electric Power Co Ltd, Metering Center of State Grid Hunan Electric Power Co Ltd filed Critical State Grid Corp of China SGCC
Priority to CN201910904903.5A priority Critical patent/CN110751000A/zh
Publication of CN110751000A publication Critical patent/CN110751000A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K7/00Methods or arrangements for sensing record carriers, e.g. for reading patterns
    • G06K7/0095Testing the sensing arrangement, e.g. testing if a magnetic card reader, bar code reader, RFID interrogator or smart card reader functions properly
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K17/00Methods or arrangements for effecting co-operative working between equipments covered by two or more of main groups G06K1/00 - G06K15/00, e.g. automatic card files incorporating conveying and reading operations
    • G06K17/0022Methods or arrangements for effecting co-operative working between equipments covered by two or more of main groups G06K1/00 - G06K15/00, e.g. automatic card files incorporating conveying and reading operations arrangements or provisious for transferring data to distant stations, e.g. from a sensing device
    • G06K17/0029Methods or arrangements for effecting co-operative working between equipments covered by two or more of main groups G06K1/00 - G06K15/00, e.g. automatic card files incorporating conveying and reading operations arrangements or provisious for transferring data to distant stations, e.g. from a sensing device the arrangement being specially adapted for wireless interrogation of grouped or bundled articles tagged with wireless record carriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Monitoring And Testing Of Transmission In General (AREA)

Abstract

本发明公开一种超高频RFID标签的验证测试方法及装置,该方法包括标签芯片射频测试步骤,步骤包括:S1.配置一可调衰减器及阅读器,可调衰减器的两端分别通过一同轴传输线与待测标签芯片、阅读器连接,构建得到射频测试装置;S2.通过调整可调衰减器的衰减值、阅读器的输出功率,使得待测标签芯片工作在最低功率,并测量最低功率时待测标签芯片的反射系数,根据最低功率、反射系数计算得到待测标签芯片的灵敏度值;调整待测标签芯片与阅读器之间的距离以调整待测标签芯片的入射功率,当入射功率达到计算的灵敏度值时,测量当前待测标签芯片的输入阻抗。本发明具有操作方法简单、实现成本低、验证测试效率以及精度高等优点。

Description

一种超高频RFID标签的验证测试方法及装置
技术领域
本发明涉及超高频RFID(Radio Frequency Identification,射频识别)技术领域,尤其涉 及一种超高频RFID标签的验证测试方法及装置。
背景技术
超高频(Ultra High Frequency,UHF)RFID技术近年来发展迅速,其中860–960MHz频段的ISO/IEC 18000-6C(EPCglobal Class 1Generation 2)超高频RFID空中接口协议由于 功能更趋完善,且应用前景广阔而倍受关注。电子标签(Tag)是RFID系统的重要组成部分, 它与阅读器(Reader)一起组成基本的RFID系统。UHF无源电子标签具体由天线、射频/模 拟前端电路和基带电路三部分组成。标签从天线接收阅读器发射的UHF频段信号,并对接收 信号进行整流、稳压,产生直流电源为其它模块供电。由于标签工作在无源模式下,为降低 硬件复杂度与功耗,系统的正向链路(R=>T,Reader to Tag)和反向链路(T=>R,Tagto Reader) 均采用AM调制方式,这使得标签能够利用包络检波的原理对调制信号进行解调,并利用反 向散射的方式对射频信号进行调制,该种调制方式靠改变模拟前端的输入阻抗实现,通过改 变反射系数而改变反射信号的幅度。标签同时需要数字基带电路对通信协议进行处理,并在 非易失存储器中存储EPC码等相关信息。由于标签工作在无源模式下,并且系统对其有高速 读取,远距离工作和大容量存储等要求,因此使之成为系统中技术难点之一,设计符合ISO/IEC 18000-6C协议的高性能无源标签近年来一直是研究的热点问题。
在超高频RFID标签设计、制作完成后需要对其性能进行验证、测试,目前针对超高频 RFID标签的验证测试方法,通常要么测试精度不高,要么测试成本较高,如灵敏度对测试芯 片性能至关重要,传统的灵敏度测试方法中,通常是采用没有经过调制的载波芯片的整流电 路以及后端稳压电路,在产生稳定的Vdd后,芯片的入射功率被认为是灵敏度Pth,然而,由 于未调制的载波不能使基带电路上产生动态能量,所以该类灵敏度Pth测试方法不能获得准确 的结果;为更精确测试灵敏度,通常需要借助于专用设备实现,或者在暗室环境中实现测试 的方法,在暗室环境中实现测试即建立标签和读写器之间的连接后,固定读写器的发射功率 PTXGTX、增加通信距离d,或者固定通信距离d、减小PTXGTX到临界状态后,用Friis公式计 算
Figure BDA0002212988430000011
再根据式Pth=GτPtag计算Pth,但是该类方法中如果无法正确估计G 和τ,则灵敏度计算将导致严重误差。
如图1(a)所示,现有技术中一种使用NI公司制造的特殊UHF RFID测试设备来构建的测试模型,该设备由一个PXI RF硬件平台和一个Lab VIEW可视化软件组成,该软件通过外部功率放大器,环形器和固定衰减器与芯片连接进行测试,最小的芯片功率可以通过改变 测试设备的发射功率找到,然后适用网络分析仪来测量芯片天线终端的参数S11并计算Pth, 输入阻抗ZC使用网络分析仪进行测量;如图1(b)所示,现有技术中一种在微波暗室环境 中实现测试的模型,其中射频信号由读写器的发射器输出,通过空间传输后到达芯片。上述 两种方式需要使用高频测试仪的暗室和UHF RFID测试设备,成本很高且操作复杂、不便, 难以快速、准确的实现超高频RFID标签的验证测试。
发明内容
本发明要解决的技术问题就在于:针对现有技术存在的技术问题,本发明提供一种操作 方法简单、实现成本低、验证测试效率及准确率高的超高频RFID标签的验证测试方法及装 置。
为解决上述技术问题,本发明提出的技术方案为:
一种超高频RFID标签的验证测试方法,该方法包括标签芯片射频测试步骤,所述标签 芯片射频测试步骤包括:
S1.射频测试装置构建:配置一可调衰减器以及阅读器,所述可调衰减器的两端分别通 过一同轴传输线与待测标签芯片、阅读器连接,构建得到用于超高频RFID标签芯片的射频 测试装置;
S2.灵敏度测试:通过调整所述射频测试装置中可调衰减器的衰减值、阅读器的输出功 率,使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标签芯 片的反射系数,根据所述最低功率、反射系数计算得到待测标签芯片的灵敏度值;
S3.输入阻抗测试:调整待测标签芯片与所述阅读器之间的距离以调整待测标签芯片的 入射功率,当所述入射功率达到计算的所述灵敏度值时,测量当前待测标签芯片的输入阻抗。
进一步的,标签芯片的灵敏度值Pth具体按下式计算得到:
Pth=Pmin(1-|S11|2)
其中,Pmin为标签芯片工作的最低功率,S11为标签芯片的反射系数。
进一步的,所述步骤S1中还包括配置两个定向耦合器,每个所述定向耦合器上设置一个 测试端口,依次通过两个所述定向耦合器与待测标签芯片串行后连接至所述可调衰减器,以 将待测标签芯片的信号耦合至所述测试端口后再传输至所述可调衰减器。
进一步的,所述步骤S2中,具体通过分别获取在两个所述测量端口处测量的信号电压的 峰值,按下式计算得到待测标签芯片的反射系数:
Figure BDA0002212988430000031
其中,VP2为在第二测量端口测量的信号电压的峰值,VP1为在第一测量端口测量的信号 电压的峰值。
进一步的,该方法还包括用于测试待测标签基带电路的基带测试步骤,所述基带电路测 试步骤包括:
构建基带测试平台:通过FPGA构建待测标签的基带电路模型,将构建有所述基带电路 模型的FPGA与包含基带测试端口和模拟前端电路的PCB基板连接,并与所述阅读器通信连 接,构建得到基带测试平台;
基带电路测试:由所述模拟前端电路对射频信号进行调幅解调,以及将复位信号和时钟 信号发送到所述FPGA,以及将所述FPGA输出的基带信号进行调制,其中射频载波以向后 散射的方式被调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取信息,判 定待测标签的基带电路验证通过。
进一步的,所述构建基带测试平台步骤中,具体通过Verilog HDL或VHDL代码构建标 签的基带电路中的控制逻辑电路,以及使用HDL代码实现非易失性存储器电路以构成存储器 模型,由构建的所述控制逻辑电路以及所述存储器模型得到所述基带电路模型。
一种超高频RFID标签的验证测试装置,包括标签芯片射频测试模块,所述标签芯片射 频测试模块包括:
射频测试装置,包括待测标签芯片、阅读器、可调衰减器以及同轴传输线,所述可调衰 减器的两端分别通过一同轴传输线与待测标签芯片、阅读器连接;
灵敏度测试单元,用于通过调整所述射频测试装置中可调衰减器的衰减值、阅读器的输 出功率,使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标 签芯片的反射系数,根据所述最低功率、反射系数计算得到待测标签芯片的灵敏度值;
输入阻抗测试单元,用于调整待测标签芯片与所述阅读器之间的距离以调整待测标签芯 片的入射功率,当所述入射功率达到计算的所述灵敏度值时,测量当前待测标签芯片的输入 阻抗。
进一步的,所述射频测试装置还包括两个定向耦合器,每个所述定向耦合器上设置一个 测试端口,两个所述定向耦合器依次与待测标签芯片串行后连接至所述可调衰减器,以将待 测标签芯片的信号耦合至所述测试端口后再传输至所述可调衰减器。
进一步的,还包括用于测试待测标签基带电路的基带电路测试模块,所述基带电路测试 模块包括:
基带测试平台,包括构建有待测标签的基带电路模型的FPGA模块、包含有基带测试端 口和模拟前端电路的PCB基板以及阅读器,所述FPGA模块与所述PCB基板连接,并与所述阅读器通信连接;
基带电路测试单元,用于由所述模拟前端电路对射频信号进行调幅解调,以及将复位信 号和时钟信号发送到所述FPGA,以及将所述FPGA输出的基带信号进行调制,其中射频载 波以向后散射的方式被调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取 信息,判定待测标签的基带电路验证通过。
与现有技术相比,本发明的优点在于:
1、本发明超高频RFID标签的验证测试方法及装置,在已经完成标签芯片设计的基础上, 针对芯片射频参数验证测试,仅需配置可调衰减器、阅读器以及同轴传输线来构建一套射频 测试装置,通过对射频测试装置中可调衰减器、阅读器进行调整,来测量待测标签芯片工作 的最低功率以及反射系数,基于测量的最低功率以及反射系数来计算得到标签芯片的灵敏度, 在得到灵敏度的基础上可进一步测量得到标签芯片的输入阻抗,无需使用暗室或RFID专用 设备即可对超高频RFID标签芯片的灵敏度、输入阻抗等射频参数进行准确测量,可以确保 验证测试精度的同时,大大节省实现成本,基于验证测试结果可以进一步指导标签天线设计 和RFID系统设计。
2、本发明超高频RFID标签的验证测试方法及装置,进一步针对基带电路验证测试,利 用现场可编程门阵列的芯片构建基带电路测试平台,利用该平台可在设计阶段对基带电路功 能进行验证,可以在设计的早期阶段测试基带电路并进行调试,测试矢量来自读写器,输出 信号直接由读写器确认,相比于传统EDA代码仿真工具能够实现更为高效的测试,从而可以 有效提高电路设计的可靠性,实际应用中可大幅提高流片成功率。
附图说明
图1是现有技术中两种实现标签灵敏度测试的结构原理示意图。
图2是本实施例超高频RFID标签的验证测试方法中标签芯片射频测试的实现流程示意 图。
图3是本实施例中所采用的射频测试装置的结构示意图。
图4是在具体应用实施例中得到的芯片阻抗随载波频率和输入功率变化关系示意图。
图5是UHF RFID无源标签原理示意图。
具体实施方式
以下结合说明书附图和具体优选的实施例对本发明作进一步描述,但并不因此而限制本 发明的保护范围。
如图2所示,本实施例超高频RFID标签的验证测试方法,该方法包括标签芯片射频测 试步骤,标签芯片射频测试步骤包括:
S1.射频测试装置构建:配置一可调衰减器以及阅读器,可调衰减器的两端分别通过一 同轴传输线与待测标签芯片、阅读器连接,构建得到用于超高频RFID标签芯片的射频测试 装置;
S2.灵敏度测试:通过调整射频测试装置中可调衰减器的衰减值、阅读器的输出功率, 使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标签芯片的 反射系数,根据最低功率、反射系数计算得到待测标签芯片的灵敏度值;
S3.输入阻抗测试:调整待测标签芯片与阅读器之间的距离以调整待测标签芯片的入射 功率,当入射功率达到计算的灵敏度值时,测量当前待测标签芯片的输入阻抗。
芯片可分为模拟/射频和基带两部分电路,对于标签芯片而言,最重要的RF参数是灵敏 度和输入阻抗。芯片的灵敏度即可以使芯片开始正常工作的RF信号的最小功率,也就是芯 片的较低功耗,用Pth表示;当芯片和天线连接形成一个完整的标签时,可以保持标签正常工 作的RF信号的最小功率称为开启功率(Ptag),该参数直观地反映了标签的性能。Ptag取决于 Pth和天线设计。天线的设计取决于芯片输入阻抗和Pth
本实施例在已经完成标签芯片(Tag IC)设计的基础上,针对芯片射频参数测试,仅需 配置可调衰减器、阅读器以及同轴传输线来构建一套射频测试装置,通过对射频测试装置中 可调衰减器、阅读器进行调整,来测量待测标签芯片工作的最低功率以及反射系数,基于测 量的最低功率以及反射系数来计算得到标签芯片的灵敏度(Pth),在得到灵敏度(Pth)的基 础上可进一步测量得到标签芯片的输入阻抗,无需使用暗室或RFID专用设备即可对超高频 RFID标签芯片的灵敏度、输入阻抗等射频参数进行准确测量,可以确保验证测试精度的同时, 大大节省实现成本,基于验证测试结果可以进一步指导标签天线设计和RFID系统设计。
如果使用不同类型的天线用于标签,则Pth与Ptag之间的关系将会明显不同,如使用低成 本的弯曲偶极天线时Pth与Ptag之间的关系如表1所示,片和天线封装时,最小功耗从16.7μW 增加到30μW;若使用复杂的扁平微带天线,则会降低标签的能量消耗,即是由芯片和天线 相结合来确定标签的能量消耗,即工作距离。在自由空间中,使用Friis公式计算RFID系统 的最大通信距离时如式(1)式所示:
Figure BDA0002212988430000051
其中,λ是载波的波长,d是读写器和标签之间的最大工作距离,PTX是读写器的输出功 率,GTX是读写器的天线增益,PTXGTX是辐射各向同性功率,G是标签的天线增益,τ为标 签芯片与天线之间的功率传输系数,由于阅读器天线和标签天线之间的极化差异,θ是极化系数,其中τ由式(2)计算得到:
Figure BDA0002212988430000061
由于特定情况下才能实现共轭匹配,所以标签一般处于失配状态,其中τ<1。此外,标 签芯片对射频载波采用反向散射进行AM调制,反向散射则要求芯片处于信号发射状态时改 变ZC,从而对回波损耗也进行了改变,使射频信号反射时能够包络调制,此工作方式也能使 功率传输系数降低。Pth、Ptag、G和τ的关系具体如(3)式:
Pth=GτPtag (3)
表1:使用不同类型天线的情况下Pth和Ptag的关系
Figure BDA0002212988430000062
如图3所示,本实施例中所采用的射频测试装置采用衰减器取代传统的传播路径,具体 包括可调衰减器、阅读器以及50欧姆传输线,可调衰减器的两端分别通过50欧姆传输线连 接到读写器天线端子和芯片,芯片必须通过调整衰减器并调整输出功率,从而达到标签工作 的最低功率Pmin;当电路的阻抗变化时,形成反射信号,如果此端口的反射系数是S11,则它 是入射信号的功率,即芯片的灵敏度Pth由(4)式确定:
Pth=Pmin(1-|S11|2) (4)
其中,Pmin为标签芯片工作的最低功率,S11为标签芯片的反射系数。
本实施例进一步利用上式(4)的结果,当入射功率达到Pth时,测量芯片的输入阻抗, 即可完全灵敏度以及输入阻抗的关键射频参数验证测试。
本实施例步骤S1中还包括配置两个定向耦合器,每个定向耦合器上设置一个测试端口, 依次通过两个定向耦合器与待测标签芯片串行后连接至可调衰减器,以将待测标签芯片的信 号耦合至测试端口后再传输至可调衰减器。如图3所示,本实施例射频测试装置中还包括两 个定向耦合器,使用两个定向耦合器和芯片串行,将信号耦合到测试端口,可以进一步监测 双向线路上的实时射频信号,并可完成其他信号的测试工作。
本实施例步骤S2中,具体通过分别获取在两个定向耦合器测量端口处测量的信号电压的 峰值,按下式计算得到待测标签芯片的反射系数:
Figure BDA0002212988430000071
其中,VP2为在第二测量端口测量的信号电压的峰值,VP1为在第一测量端口测量的信号 电压的峰值。上式中,由于设备工作在900MHz时,从输入到输出的传输损耗为1.33dB,如果读写器到标签的通信链路信号通过两个串联耦合器,则损耗为2.66dB。
读写器到标签通信链路的信号强度是相对较大的,如按标准规定PTXGTX到2W ERP(≈ 4.2W EIRP),因为它倾向于通过滤波带调节信号包络的波动,而标签到读写器的通信链路信 号强度非常低,并且芯片没有滤波功能,所以反向散射信号的包络线突然改变,如果AM信 号未反映在芯片上,则输入阻抗不再改变,并且两个信号是来自横包络载波信号。芯片的返 回信号的频谱可以通过射频测试端口1测量,并监测占用带宽以及BLF,而为了测量标签到 读写器的射频信号频谱,通常需要特殊的RFID测试设备,本实施例参数S11利用两个信号 的VP峰值来计算,无需使用专用的测试设备,可以显着降低测试设备的成本,参数S11还可 以直接使用网络分析仪测试得到,或者在利用式(5)计算得到后用于验证网络分析仪测试的 结果。
为验证本发明上述标签芯片射频测试的有效性,采用上述测试方法完成MPW芯片的测 试,此芯片使用CMOS 8RI SR1605A01标准工艺,符合ISO/IEC 18000-6C标准,内置2K-bit 的EPROM存储器。随机选择五个MPW芯片作为测试样本,使用连接到SMA连接器的50 Ω微带线直接连接到PCB板上,读写器、一个可调衰减器和一个PCB测试板与50欧姆的同 轴电缆串联,具体如图3所示。
被动式RFID系统是前向链路受限的系统,即通信距离是受到读写器到标签的链路限制 的,因为读写器的灵敏度(<-70dBm)大于无源芯片的灵敏度(>-15dBm),当芯片正常工作时,标签的反射信号能够被读写器正确解调。读写器不停的使用915MHz频率对标签进行盘点,读写器到标签的链路Tari参数设置为25us,而标签到读写器的通信链路采用FM0编码,数据速率为40kHz。衰减器的性能参数为:0-1.5GHz的连续频带,设置范围为0至99dB,分辨率为1dB。为了克服这种低分辨率衰减器缺陷,本实施例通过改变通过衰减器的同轴线的长度来改变衰减量,同轴取景器的衰减常数约为0.5dB/m(含接头),具体通过调整读写器的输出功率、同轴线缆长度以及衰减器的衰减值,使标签芯片的入射功率达到临界点,测量的5个样本得到的Pmin输出调制功率如表2中所示,数据由功率计(Agilent E4418B)测得。使用网络分析仪(Agilent E5061B)测量相应Pmin下的S11参数和每个芯片样本的灵敏度,按照式(4)计算得到对应的灵敏度,结果如表2中所示;当通信频率为915MHz时, 测试得到芯片输入阻抗为25-j41(Ω),与其他芯片的差别仅为0.1-j 0.1(Ω)。
表2:芯片样本的各项性能参数(915MHz)
Figure BDA0002212988430000081
本实施例进一步选取其中一枚芯片,改变网络分析仪的输出功率和通信频率,分别测量 芯片输入阻抗的变化情况,结果如图4所示。ISO/IEC 18000-6C空中接口协议规定系统的工 作频率范围是860–960MHz,假设标签天线阻抗与芯片阻抗在915MHz完全匹配,则当工作 频率变化至860MHz或960MHz时,由式(2)可知,天线与芯片之间的功率传输系数τ会降低约10%,假设标签天线与芯片在第一个窄带内匹配良好,则在第二个窄带内τ会降低约12%;如果改变入射功率,即改变阅读器与标签之间的距离,则对τ的影响更加明显,最差情况时可下降50%以上,实际应用时应尽可能增加系统的工作距离,将天线阻抗与入射功率 达到Pmin时测得的芯片阻抗相匹配。此时使用双向定向耦合器(Mini Figure ZFDC-10-2-S) 监视通信线路上的RF信号并传输至NX PXLE-1062Q,定向耦合器连接包含标签芯片的PCB 测试板,并取出标签的反射功率,利用式(5)计算得到S11参数,与使用网络测试仪的测试 结果相互验证,即本发明上述方法能够准确实现超高频RFID标签芯片射频参数的准确测量。
本实施例中,上述验证测试方法还包括用于测试待测标签基带电路的基带测试步骤,基 带电路测试步骤包括:
构建基带测试平台:通过FPGA构建待测标签的基带电路模型,将构建有基带电路模型 的FPGA与包含基带测试端口和模拟前端电路的PCB基板连接,并与阅读器通信连接,构建 得到基带测试平台;
基带电路测试:由模拟前端电路对射频信号进行调幅解调,以及将复位信号和时钟信号 发送到FPGA,以及将FPGA输出的基带信号进行调制,其中射频载波以向后散射的方式被 调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取信息,判定待测标签的 基带电路验证通过。
标签基带电路分为控制逻辑电路和非易失存储器两个部分,本实施例首先通过编写 Verilog HDL或VHDL代码的方式实现控制逻辑电路,状态机处理协议是一个包含数十个状 态跳转路径的复合体,而对代码功能进行验证则是利用FPGA来完成。虽然非易失存储器为 模拟电路,但是仍然可以使用可综合的HDL代码描述其读写行为,因此原非易失性存储器电 路可用HDL代码替换,从而构成存储器模型,辅助逻辑控制电路完成整体基带电路测试。本 实施例利用现场可编程门阵列的芯片构建基带电路测试平台,利用该平台可在设计阶段对基 带电路功能进行验证,可以在设计的早期阶段测试基带电路并进行调试,测试矢量来自读写 器,输出信号直接由读写器确认,相比于传统EDA代码仿真工具能够实现更为高效的测试, 从而可以有效提高电路设计的可靠性,实际应用中可大幅提高流片成功率。
本实施例上述构建基带测试平台步骤中,具体通过Verilog HDL或VHDL代码构建标签 的基带电路中的控制逻辑电路,以及使用HDL代码实现非易失性存储器电路以构成存储器模 型,由构建的控制逻辑电路以及存储器模型得到基带电路模型。
本实施例基于FPGA的基带电路验证平台是基于UHF RFID无源标签原理进行构建,UHF RFID无源标签原理如图5所示,FPGA可实现存储器与逻辑控制电路的模型,为了在实际应 用环境中测试基带电路,FPGA开发板通过连接线与一块包含基带测试端口和模拟前端电路 的PCB板连接,此平台可与阅读器通信,同时实现了标签的原型机开发和基带电路的功能验 证。
本实施例模拟/射频前端电路具体使用SR1605A01 TSMC CM018RI线标准CMOS工艺, 直接连接到PCB基板,模拟前端电路对射频信号进行调幅解调,由模拟前端将复位信号和时 钟信号发送到FPGA,模拟前端将FPGA输出的基带信号进行调制,射频载波以向后散射的 方式被调制。当标签返回的信号正常响应时,同时阅读器可以正确读取EPC代码等信息,就 可以证明进行了正确的设计。本实施例借助上述基带测试平台,可以对设计进行全面的测试 和调试,可以实时监测双向连接通路上的基带信号,内部电路节点的信号可以通过预留的基 带测试端口进行。
本实施例超高频RFID标签的验证测试装置,包括标签芯片射频测试模块,标签芯片射 频测试模块包括:
射频测试装置,如图3所示,包括待测标签芯片、阅读器、可调衰减器以及同轴传输线, 所述可调衰减器的两端分别通过一同轴传输线与待测标签芯片、阅读器连接;
灵敏度测试单元,用于通过调整所述射频测试装置中可调衰减器的衰减值、阅读器的输 出功率,使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标 签芯片的反射系数,根据所述最低功率、反射系数计算得到待测标签芯片的灵敏度值;
输入阻抗测试单元,用于调整待测标签芯片与所述阅读器之间的距离以调整待测标签芯 片的入射功率,当所述入射功率达到计算的所述灵敏度值时,测量当前待测标签芯片的输入 阻抗抗。
本实施例中,射频测试装置还包括两个定向耦合器,每个定向耦合器上设置一个测试端 口,两个定向耦合器依次与待测标签芯片串行后连接至可调衰减器,以将待测标签芯片的信 号耦合至测试端口后再传输至可调衰减器。
本实施例中,还包括用于测试待测标签基带电路的基带电路测试模块,基带电路测试模 块包括:
基带测试平台,包括构建有待测标签的基带电路模型的FPGA模块、包含有基带测试端 口和模拟前端电路的PCB基板以及阅读器,FPGA模块与PCB基板连接,并与阅读器通信连 接;
基带电路测试单元,用于由模拟前端电路对射频信号进行调幅解调,以及将复位信号和 时钟信号发送到FPGA,以及将FPGA输出的基带信号进行调制,其中射频载波以向后散射 的方式被调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取信息,判定待 测标签的基带电路验证通过。
本实施例超高频RFID标签的验证测试装置与上述超高频RFID标签的验证测试方法对 应,此不再一一赘述。
上述只是本发明的较佳实施例,并非对本发明作任何形式上的限制。虽然本发明已以较 佳实施例揭露如上,然而并非用以限定本发明。因此,凡是未脱离本发明技术方案的内容, 依据本发明技术实质对以上实施例所做的任何简单修改、等同变化及修饰,均应落在本发明 技术方案保护的范围内。

Claims (9)

1.一种超高频RFID标签的验证测试方法,其特征在于,该方法包括标签芯片射频测试步骤,所述标签芯片射频测试步骤包括:
S1.射频测试装置构建:配置一可调衰减器以及阅读器,所述可调衰减器的两端分别通过一同轴传输线与待测标签芯片、阅读器连接,构建得到用于超高频RFID标签芯片的射频测试装置;
S2.灵敏度测试:通过调整所述射频测试装置中可调衰减器的衰减值、阅读器的输出功率,使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标签芯片的反射系数,根据所述最低功率、反射系数计算得到待测标签芯片的灵敏度值;
S3.输入阻抗测试:调整待测标签芯片与所述阅读器之间的距离以调整待测标签芯片的入射功率,当所述入射功率达到计算的所述灵敏度值时,测量当前待测标签芯片的输入阻抗。
2.根据权利要求1所述的超高频RFID标签的验证测试方法,其特征在于,标签芯片的灵敏度值Pth具体按下式计算得到:
Pth=Pmin(1-|S11|2)
其中,Pmin为标签芯片工作的最低功率,S11为标签芯片的反射系数。
3.根据权利要求1所述的超高频RFID标签的验证测试方法,其特征在于,所述步骤S1中还包括配置两个定向耦合器,每个所述定向耦合器上设置一个测试端口,依次通过两个所述定向耦合器与待测标签芯片串行后连接至所述可调衰减器,以将待测标签芯片的信号耦合至所述测试端口后再传输至所述可调衰减器。
4.根据权利要求3所述的超高频RFID标签的验证测试方法,其特征在于,所述步骤S2中,具体通过分别获取在两个所述测量端口处测量的信号电压的峰值,按下式计算得到待测标签芯片的反射系数:
Figure FDA0002212988420000011
其中,VP2为在第二测量端口测量的信号电压的峰值,VP1为在第一测量端口测量的信号电压的峰值。
5.根据权利要求1~4中任意一种所述的超高频RFID标签的验证测试方法,其特征在于,该方法还包括用于测试待测标签基带电路的基带测试步骤,所述基带电路测试步骤包括:
构建基带测试平台:通过FPGA构建待测标签的基带电路模型,将构建有所述基带电路模型的FPGA与包含基带测试端口和模拟前端电路的PCB基板连接,并与所述阅读器通信连接,构建得到基带测试平台;
基带电路测试:由所述模拟前端电路对射频信号进行调幅解调,以及将复位信号和时钟信号发送到所述FPGA,以及将所述FPGA输出的基带信号进行调制,其中射频载波以向后散射的方式被调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取信息,判定待测标签的基带电路验证通过。
6.根据权利要求5所述的超高频RFID标签的验证测试方法,其特征在于,所述构建基带测试平台步骤中,具体通过Verilog HDL或VHDL代码构建标签的基带电路中的控制逻辑电路,以及使用HDL代码实现非易失性存储器电路以构成存储器模型,由构建的所述控制逻辑电路以及所述存储器模型得到所述基带电路模型。
7.一种超高频RFID标签的验证测试装置,其特征在于,包括标签芯片射频测试模块,所述标签芯片射频测试模块包括:
射频测试装置,包括待测标签芯片、阅读器、可调衰减器以及同轴传输线,所述可调衰减器的两端分别通过一同轴传输线与待测标签芯片、阅读器连接;
灵敏度测试单元,用于通过调整所述射频测试装置中可调衰减器的衰减值、阅读器的输出功率,使得待测标签芯片工作在最低功率,并测量待测标签芯片工作在最低功率时待测标签芯片的反射系数,根据所述最低功率、反射系数计算得到待测标签芯片的灵敏度值;
输入阻抗测试单元,用于调整待测标签芯片与所述阅读器之间的距离以调整待测标签芯片的入射功率,当所述入射功率达到计算的所述灵敏度值时,测量当前待测标签芯片的输入阻抗。
8.根据权利要求7所述的超高频RFID标签的验证测试装置,其特征在于,所述射频测试装置还包括两个定向耦合器,每个所述定向耦合器上设置一个测试端口,两个所述定向耦合器依次与待测标签芯片串行后连接至所述可调衰减器,以将待测标签芯片的信号耦合至所述测试端口后再传输至所述可调衰减器。
9.根据权利要求7或8所述的超高频RFID标签的验证测试装置,其特征在于,还包括用于测试待测标签基带电路的基带电路测试模块,所述基带电路测试模块包括:
基带测试平台,包括构建有待测标签的基带电路模型的FPGA模块、包含有基带测试端口和模拟前端电路的PCB基板以及阅读器,所述FPGA模块与所述PCB基板连接,并与所述阅读器通信连接;
基带电路测试单元,用于由所述模拟前端电路对射频信号进行调幅解调,以及将复位信号和时钟信号发送到所述FPGA,以及将所述FPGA输出的基带信号进行调制,其中射频载波以向后散射的方式被调制,若待测标签返回的信号正常响应时,同时阅读器可以正确读取信息,判定待测标签的基带电路验证通过。
CN201910904903.5A 2019-09-24 2019-09-24 一种超高频rfid标签的验证测试方法及装置 Pending CN110751000A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910904903.5A CN110751000A (zh) 2019-09-24 2019-09-24 一种超高频rfid标签的验证测试方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910904903.5A CN110751000A (zh) 2019-09-24 2019-09-24 一种超高频rfid标签的验证测试方法及装置

Publications (1)

Publication Number Publication Date
CN110751000A true CN110751000A (zh) 2020-02-04

Family

ID=69276986

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910904903.5A Pending CN110751000A (zh) 2019-09-24 2019-09-24 一种超高频rfid标签的验证测试方法及装置

Country Status (1)

Country Link
CN (1) CN110751000A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112613330A (zh) * 2020-12-04 2021-04-06 中国电子科技集团公司第五十四研究所 一种基于gjb协议的超高频rfid验证系统
CN112926347A (zh) * 2021-03-30 2021-06-08 太原理工大学 一种基于4qam无源标签反向散射功率的自适应控制方法
CN113162710A (zh) * 2021-04-19 2021-07-23 中国电子产品可靠性与环境试验研究所((工业和信息化部电子第五研究所)(中国赛宝实验室)) 通信链路质量测试装置及测试方法
CN114444635A (zh) * 2022-02-08 2022-05-06 河南工业大学 基于rfid标签的粮食含水量和温度的预测方法及系统

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101706863A (zh) * 2009-10-30 2010-05-12 东莞市太平洋计算机科技有限公司 一种测试rfid阅读器接收灵敏度的方法、设备及其阅读器
CN103091556A (zh) * 2011-10-31 2013-05-08 国民技术股份有限公司 一种电子标签匹配阻抗的测量方法及系统
CN103605941A (zh) * 2013-09-06 2014-02-26 珠海银邮光电技术发展股份有限公司 Uhf频段rfid射频标签芯片接收灵敏度的测试系统及方法
CN106295430A (zh) * 2016-07-22 2017-01-04 工业和信息化部电子工业标准化研究院 射频识别读写器接收灵敏度测量系统、方法及测试设备
CN107171697A (zh) * 2017-04-21 2017-09-15 西安电子科技大学 一种具有自动阻抗匹配功能的无源超高频射频识别标签
US20180005103A1 (en) * 2016-05-27 2018-01-04 Berntsen International, Inc. Uhf rfid tag for marking underground assets and locations and method of using same
CN109033906A (zh) * 2018-07-27 2018-12-18 天津科畅慧通信息技术有限公司 一种测试rfid灵敏度的装置及方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101706863A (zh) * 2009-10-30 2010-05-12 东莞市太平洋计算机科技有限公司 一种测试rfid阅读器接收灵敏度的方法、设备及其阅读器
CN103091556A (zh) * 2011-10-31 2013-05-08 国民技术股份有限公司 一种电子标签匹配阻抗的测量方法及系统
CN103605941A (zh) * 2013-09-06 2014-02-26 珠海银邮光电技术发展股份有限公司 Uhf频段rfid射频标签芯片接收灵敏度的测试系统及方法
US20180005103A1 (en) * 2016-05-27 2018-01-04 Berntsen International, Inc. Uhf rfid tag for marking underground assets and locations and method of using same
CN106295430A (zh) * 2016-07-22 2017-01-04 工业和信息化部电子工业标准化研究院 射频识别读写器接收灵敏度测量系统、方法及测试设备
CN107171697A (zh) * 2017-04-21 2017-09-15 西安电子科技大学 一种具有自动阻抗匹配功能的无源超高频射频识别标签
CN109033906A (zh) * 2018-07-27 2018-12-18 天津科畅慧通信息技术有限公司 一种测试rfid灵敏度的装置及方法

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
KAI XU等: "Design Verification and Measurement Techniques for UHF RFID Tag IC", PROC. 7TH INT. CONF. WIRELESS COMMUNICATIONS, NETWORKING AND MOBILE COMPUTING *
张翼: "基于UHF RFID自主标准的协议验证及芯片前端设计", pages 25 - 29 *
杨跃胜等: "无源RFID标签芯片灵敏度测试方法研究", vol. 34, no. 22, pages 182 - 184 *
王峥: "超高频 RFID 空中接口协议的研究与系统设计", 博士电子期刊, no. 5, pages 108 - 126 *
靳钊;庄奕琪;乔丽萍;刘伟峰;唐龙飞;李兵;: "基于源牵引的射频识别芯片的阻抗及灵敏度测量", vol. 31, no. 12, pages 2844 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112613330A (zh) * 2020-12-04 2021-04-06 中国电子科技集团公司第五十四研究所 一种基于gjb协议的超高频rfid验证系统
CN112926347A (zh) * 2021-03-30 2021-06-08 太原理工大学 一种基于4qam无源标签反向散射功率的自适应控制方法
CN113162710A (zh) * 2021-04-19 2021-07-23 中国电子产品可靠性与环境试验研究所((工业和信息化部电子第五研究所)(中国赛宝实验室)) 通信链路质量测试装置及测试方法
CN114444635A (zh) * 2022-02-08 2022-05-06 河南工业大学 基于rfid标签的粮食含水量和温度的预测方法及系统
CN114444635B (zh) * 2022-02-08 2023-10-17 河南工业大学 基于rfid标签的粮食含水量和温度的预测方法及系统

Similar Documents

Publication Publication Date Title
CN110751000A (zh) 一种超高频rfid标签的验证测试方法及装置
Nikitin et al. Sensitivity and impedance measurements of UHF RFID chips
Catarinucci et al. A cost-effective SDR platform for performance characterization of RFID tags
US9349090B1 (en) Self tuning RFID tags
Nikitin et al. UHF RFID tag characterization: Overview and state-of-the-art
Catarinucci et al. Enhanced UHF RFID sensor-tag
CN101750553B (zh) 一种rfid标签工作电平的基准测试系统及方法
CN101782608B (zh) Rfid标签响应频率基准测试系统及方法
Catarinucci et al. Performance analysis of passive UHF RFID tags with GNU-radio
CN101706863B (zh) 一种测试rfid阅读器接收灵敏度的方法、设备及其阅读器
CN101706862B (zh) 一种测试rfid阅读器接收灵敏度的方法及设备
De Donno et al. Differential RCS and sensitivity calculation of RFID tags with software-defined radio
CN103605941A (zh) Uhf频段rfid射频标签芯片接收灵敏度的测试系统及方法
CN103091556A (zh) 一种电子标签匹配阻抗的测量方法及系统
CN102158241B (zh) 无源超高频射频识别系统的通信误码率测试方法和装置
CN107026325B (zh) 一种射频识别标签天线阻抗匹配连接装置
CN113761956A (zh) 一种用于物联网的rfid读写系统及方法
CN101685492B (zh) Rfid通信模型空中接口参数测试方法
CN210347850U (zh) 一种超高频无源芯片性能测试系统及其损耗测量装置
Skali et al. On the measurement of the delta Radar Cross Section (ΔRCS) for UHF tags
Yang et al. Design Verification and Test Techniques for UHF RFID Tag IC
Zhang et al. Power margin reduction in linear passive UHF RFID tag arrays
Ferdik et al. Ni pxie based uhf rfid reader
Preradovic et al. Chipless wireless sensor node
Xu et al. Design verification and measurement techniques for UHF RFID tag IC

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination