CN110265358B - Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof - Google Patents

Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
CN110265358B
CN110265358B CN201910411962.9A CN201910411962A CN110265358B CN 110265358 B CN110265358 B CN 110265358B CN 201910411962 A CN201910411962 A CN 201910411962A CN 110265358 B CN110265358 B CN 110265358B
Authority
CN
China
Prior art keywords
layer
epitaxial layer
region
forming
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910411962.9A
Other languages
Chinese (zh)
Other versions
CN110265358A (en
Inventor
余自强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Industrial Utechnology Research Institute
Original Assignee
Shanghai Industrial Utechnology Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Industrial Utechnology Research Institute filed Critical Shanghai Industrial Utechnology Research Institute
Priority to CN201910411962.9A priority Critical patent/CN110265358B/en
Publication of CN110265358A publication Critical patent/CN110265358A/en
Application granted granted Critical
Publication of CN110265358B publication Critical patent/CN110265358B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

The method for manufacturing the semiconductor device channel comprises the following steps: forming a first epitaxial layer on a semiconductor substrate; forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region of the semiconductor substrate respectively, wherein the height of the second epitaxial layer is greater than that of the third epitaxial layer; forming a fourth epitaxial layer on the second epitaxial layer and the third epitaxial layer; wherein the second epitaxial layer and the third epitaxial layer provide a channel of a transistor. According to the manufacturing method of the semiconductor device channel, the second epitaxial layer and the third epitaxial layer with different heights are formed in the first area and the second area of the substrate respectively, so that transistor channels with different gate lengths in the Core area and the IO area of the semiconductor device can be formed simultaneously, and the process complexity is reduced.

Description

Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof
Technical Field
The invention relates to the technical field of semiconductor device processes, in particular to a manufacturing method of a semiconductor device channel, a semiconductor device and a manufacturing method of the semiconductor device channel.
Background
With the continuous development of semiconductor technology, the continuous reduction of device size and the improvement of integration level to obtain better performance become the targets and the development impetus for the pursuit of integrated circuit technology. The most basic unit in an integrated circuit is a metal-oxide-semiconductor Field Effect Transistor (MOSFET), and a Vertical Field Effect Transistor (VFET) is a three-dimensional structure, which can improve the integration level.
The vertical field effect transistor is formed on a surface of a semiconductor substrate including a Core area and an IO area. When a device is formed on a semiconductor substrate, transistor channels of a core region and a transistor channel of an input/output region cannot be formed at the same time due to different gate lengths, so that the process flow is complicated.
Therefore, a new method for manufacturing a semiconductor device is needed to solve the problems in the prior art.
Disclosure of Invention
In view of the above problems, it is an object of the present invention to provide a method for manufacturing a channel of a semiconductor device, which improves the process flow so that transistor channels with different gate lengths in Core and IO regions of the semiconductor device can be formed simultaneously, thereby reducing the process complexity.
According to an aspect of the present invention, there is provided a method of manufacturing a channel of a semiconductor device, including:
forming a first epitaxial layer on a semiconductor substrate;
forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region of the semiconductor substrate respectively, wherein the height of the second epitaxial layer is greater than that of the third epitaxial layer;
forming a fourth epitaxial layer on the second epitaxial layer and the third epitaxial layer;
forming a first protective layer on the fourth epitaxial layer;
forming a first columnar laminated structure in the first area and the second area respectively;
wherein the second epitaxial layer and the third epitaxial layer provide a channel of a transistor.
Optionally, the first epitaxial layer and the fourth epitaxial layer form a source region and a drain region of the transistor, respectively.
Optionally, the step of forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region respectively includes:
forming a hard mask layer on the first epitaxial layer of the second region;
taking the hard mask layer as a mask, and epitaxially growing a second epitaxial layer on the first epitaxial layer of the first region;
removing the hard mask layer of the second region;
and epitaxially growing a third epitaxial layer on the first epitaxial layer of the second region.
Optionally, the height of the second epitaxial layer is 2-10 times the height of the third epitaxial layer.
Optionally, the step of forming a hard mask layer on the first epitaxial layer of the second region includes:
forming a hard mask layer on the first epitaxial layer;
and removing the hard mask layer of the first area.
According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, including:
forming a first epitaxial layer on a semiconductor substrate;
forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region of the semiconductor substrate respectively, wherein the height of the second epitaxial layer is greater than that of the third epitaxial layer;
forming a fourth epitaxial layer on the second epitaxial layer and the third epitaxial layer;
forming a first protective layer on the fourth epitaxial layer;
forming a first columnar laminated structure in the first area and the second area respectively;
forming a grid dielectric layer on the surface of the substrate and the surface of the columnar laminated structure;
forming a protective layer, a sacrificial layer and a protective layer structure on the grid dielectric layer;
forming a second laminated structure which is formed by the protective layer and the sacrificial layer, wherein the protective layer surrounds the first columnar laminated structure;
removing the sacrificial layer to expose the channel of the semiconductor device and form a gate region,
the first epitaxial layer and the fourth epitaxial layer respectively form a source region and a drain region of the transistor, and the second epitaxial layer and the third epitaxial layer provide a channel of the transistor.
Optionally, the step of forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region respectively includes:
forming a hard mask layer on the first epitaxial layer of the second region;
taking the hard mask layer as a mask, and epitaxially growing a second epitaxial layer on the first epitaxial layer of the first region;
removing the hard mask layer of the second region;
and epitaxially growing a third epitaxial layer on the first epitaxial layer of the second region.
Optionally, the height of the second epitaxial layer is 2-10 times the height of the third epitaxial layer.
Optionally, the step of forming a hard mask layer on the first epitaxial layer of the second region includes:
forming a hard mask layer on the first epitaxial layer;
and removing the hard mask layer of the first area.
Optionally, the step of forming the first columnar stack structure in the first region and the second region respectively includes:
forming a hard mask layer on the first protective layer;
forming a material layer on the hard mask layer;
forming a patterned photoresist layer on the material layer;
etching the hard mask layer by taking the photoresist layer as a mask;
and etching the semiconductor structure by taking the etched hard mask layer as a mask, and respectively forming a first columnar laminated structure in the first region and the second region.
Optionally, the cross-sectional shape of the first columnar laminated structure includes: circular, triangular, quadrilateral.
Optionally, the step of forming the protection layer, the sacrificial layer, and the protection layer structure includes:
forming a second protective layer around the columnar laminated structure;
forming a first sacrificial layer on the second protective layer;
forming a third protective layer on the first sacrificial layer of the second region;
forming a second sacrificial layer on the first sacrificial layer of the first region;
forming a fourth protection layer on the second sacrificial layer of the first region;
wherein the second protective layer separates the first sacrificial layer from the first epitaxial layer, the third protective layer separates the first sacrificial layer from the fourth epitaxial layer, and the fourth protective layer separates the second sacrificial layer from the fourth epitaxial layer.
Optionally, the second protective layer, the third protective layer, and the fourth protective layer are formed by a method including: atomic layer deposition.
Optionally, the step of forming a fourth protection layer on the second sacrificial layer in the first region further includes:
forming an oxide layer on the fourth protective layer of the first region and the third protective layer of the second region,
wherein the oxide layer planarizes the semiconductor structure surface of the first and second regions.
Optionally, the step of forming a second stacked structure of the protective layer and the sacrificial layer, the protective layer surrounding the first columnar stacked structure, includes:
forming a mask on the surfaces of the third protective layer and the fourth protective layer or the oxide layer;
patterning the mask;
and etching the protective layer, the sacrificial layer and the protective layer structure to form a second laminated structure surrounding the first columnar laminated structure.
Optionally, the height of the protection layer is not greater than the height of the first epitaxial layer or the height of the fourth epitaxial layer, the height of the sacrificial layer in the first region is not less than the height of the second epitaxial layer, and the height of the sacrificial layer in the second region is not less than the height of the third epitaxial layer.
Optionally, a gate structure (180/181) is fabricated in the gate region, the gate structure including a single layer structure and a composite layer structure.
Optionally, the material of the gate structure includes one or more of polysilicon, metal, and oxide.
According to still another aspect of the present invention, there is provided a semiconductor device manufactured by the above manufacturing method.
According to the manufacturing method of the semiconductor device, the second epitaxial layers with different heights are formed in the first region and the second region of the substrate respectively, so that transistor channels with different gate lengths in the Core region and the IO region of the semiconductor device can be formed at the same time, and the process complexity is reduced.
Drawings
The above and other objects, features and advantages of the present invention will become more apparent from the following description of the embodiments of the present invention with reference to the accompanying drawings, in which:
fig. 1 to 18 are sectional views showing a method of manufacturing a semiconductor device according to a first embodiment of the present invention at various stages;
fig. 19 shows a cross-sectional view of the semiconductor device of fig. 18 in the AA direction according to the first embodiment of the present invention.
Fig. 20 is a cross-sectional view of a semiconductor device according to a second embodiment of the present invention along the AA direction.
Fig. 21 is a cross-sectional view of a semiconductor device according to a third embodiment of the present invention along the AA direction.
Detailed Description
The invention will be described in more detail below with reference to the accompanying drawings. Like elements in the various figures are denoted by like reference numerals. For purposes of clarity, the various features in the drawings are not necessarily drawn to scale. In addition, certain well known components may not be shown. For simplicity, the semiconductor structure obtained after several steps can be described in one figure.
It will be understood that when a layer or region is referred to as being "on" or "over" another layer or region in describing the structure of the device, it can be directly on the other layer or region or intervening layers or regions may also be present. And, if the device is turned over, that layer, region, or regions would be "under" or "beneath" another layer, region, or regions.
If for the purpose of describing the situation directly on another layer, another area, the expression "directly on … …" or "on … … and adjacent thereto" will be used herein.
In the following description, numerous specific details of the invention, such as structure, materials, dimensions, processing techniques and techniques of the devices are described in order to provide a more thorough understanding of the invention. However, as will be understood by those skilled in the art, the present invention may be practiced without these specific details.
In the present application, the term "semiconductor structure" refers to the general term for the entire semiconductor structure formed in the various steps of manufacturing a memory device, including all layers or regions that have been formed. Hereinafter, unless otherwise specified, "semiconductor structure" refers to an intermediate structure comprising a wafer and a gate stack structure formed thereon.
The present invention is not limited to the above-described embodiments, but is to be construed as broadly as possible and fall within the scope of the appended claims.
In an embodiment of the present invention, a method of fabricating a channel of a semiconductor device includes:
a first epitaxial layer is formed on a semiconductor substrate, and the first epitaxial layer is used for forming a source region or a drain region of a transistor, and the method adopts an epitaxial process such as a vapor phase epitaxial process, molecular beam epitaxy, ion beam epitaxy and the like.
Further, a second epitaxial layer and a third epitaxial layer are respectively formed on the first epitaxial layer corresponding to the first region (IO area) and the second region (Core area) of the semiconductor substrate, and the height of the second epitaxial layer is greater than that of the third epitaxial layer.
In this step, a hard mask layer is formed on the first epitaxial layer of the second region, the hard mask layer is used as a mask, a second epitaxial layer is epitaxially grown on the first epitaxial layer of the first region, the hard mask layer of the second region is removed, and a third epitaxial layer is epitaxially grown on the first epitaxial layer of the second region, wherein the hard mask layer may be formed by, for example, processes such as electron beam evaporation, chemical vapor deposition process, physical vapor deposition process, atomic layer deposition, sputtering, and the like, and the second epitaxial layer and the third epitaxial layer may be formed by, for example, epitaxial processes such as vapor phase epitaxy process, molecular beam epitaxy, ion beam epitaxy, and the like.
In the step of epitaxially growing the third epitaxial layer on the first epitaxial layer in the second region, when the surface of the second epitaxial layer is not covered by the mask, the second epitaxial layer may also be epitaxially grown again during the growth of the third epitaxial layer, and when the surface of the second epitaxial layer has the mask, the second epitaxial layer may not be epitaxially grown again along with the growth of the third epitaxial layer.
The height of the second epitaxial layer can be 2-10 times of the height of the third epitaxial layer. The second epitaxial layer and the third epitaxial layer are used to provide a channel of the transistor.
Further, a fourth epitaxial layer is formed on the second epitaxial layer and the third epitaxial layer, and the fourth epitaxial layer is used for forming a drain region or a source region of the transistor, for example, by using an epitaxial process such as a vapor phase epitaxial process, a molecular beam epitaxy, an ion beam epitaxy, or the like.
Further, the first protective layer is formed on the fourth epitaxial layer by, for example, a deposition process such as electron beam evaporation, a chemical vapor deposition process, a physical vapor deposition process, atomic layer deposition, sputtering, and the like.
Further, first columnar laminated structures are formed in the first region and the second region, respectively.
In this step, a hard mask layer is deposited on the first protection layer, a material layer is formed on the hard mask layer through a spin process, the material layer enables the semiconductor structure to have a flat surface, a photoresist layer is formed on the surface of the material layer through a spin coating process, the photoresist is patterned along the X direction through a photoetching process (including steps of exposure, development and the like) to form a mask, a part of the hard mask layer is removed through the mask through an etching process, etching time is controlled, or selective etchant is used, so that the etching is stopped when the etching reaches the surface of the first protection layer. In a plane parallel to the surface of the substrate, optionally one direction is defined as an X direction, and a direction perpendicular to the X direction in the plane is a Y direction.
Then, a material layer is formed on the semiconductor structure again by using a spin process, the surface of the semiconductor structure is planarized, a photoresist is formed on the surface of the material layer by using a spin coating process, the photoresist is patterned in the Y direction by using a photolithography process (including exposure and development steps) to form a mask, a portion of the hard mask layer is removed again through the mask by using an etching process, etching time is controlled, or etching is stopped when the etching reaches the surface of the first protective layer by using a selective etchant, and the material layer and the photoresist layer are removed by using ashing or a dissolving agent. And etching the semiconductor structure by using the patterned hard mask layer as a mask to form first columnar laminated structures in the first region and the second region respectively.
The material layer and the photoresist layer may also be formed using a deposition process such as electron beam evaporation, a chemical vapor deposition process, a physical vapor deposition process, atomic layer deposition, sputtering, and the like, among others. The etching method may also use processes such as ion mill etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, and the like.
In the formed first columnar structure, the second epitaxial layer and the third epitaxial layer are used for forming a channel of a transistor, and the first epitaxial layer and the fourth epitaxial layer are used for forming a source region and a drain region of the transistor.
The method of manufacturing a channel of a semiconductor device of the present invention can be presented in various forms, and an embodiment in which a Vertical Field Effect Transistor (VFET) is taken as an example will be described below.
Fig. 1 to 18 are sectional views showing a method of manufacturing a semiconductor device according to a first embodiment of the present invention at various stages. A method for manufacturing a semiconductor device of the present invention will be described in detail with reference to fig. 1 to 18.
The method of an embodiment of the invention starts with a semiconductor substrate 110, which semiconductor substrate 110 may be at least one of the following materials: silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-on-insulator-silicon-germanium (S-SiGeOI), silicon-on-insulator-silicon-germanium (SiGeOI), and germanium-on-insulator (GeOI), among others. In a specific embodiment of the present invention, a silicon-on-insulator (SOI) is preferred, and the silicon-on-insulator (SOI) includes, from bottom to top, a supporting substrate, an oxide insulating layer, and a semiconductor material layer, but is not limited to the above example.
The substrate may be N-type or P-type depending on the type of device to be fabricated.
The semiconductor substrate 110 includes a first region and a second region, i.e., an IO area (input-output area) and a Core area (Core area).
A first epitaxial layer 120 is epitaxially formed on the semiconductor substrate 110, the first epitaxial layer 120 covering the Core and IO regions, as shown in fig. 1. The first epitaxial layer 120 is used to form a source or drain region in a VFET, and the first epitaxial layer 120 is a semiconductor material, which may be, for example, doped silicon.
In this step, the first epitaxial layer 120 is formed by epitaxially growing a doped silicon layer on the surface of the semiconductor substrate 110 by using an epitaxial process such as a vapor Phase Epitaxy (Vpor-Phase epitoxy, VPE), a Liquid Phase Epitaxy (LPE), a Molecular Beam Epitaxy (MBE), a Rapid Thermal Chemical Vapor Deposition (RTCVD) Epitaxy, an ultra-high vacuum chemical vapor deposition (UHVCVD) Epitaxy, and an ion Beam Epitaxy. Embodiments of the present invention are not limited thereto, and since the first epitaxial layer 120 is used to form a source region or a drain region in the device VFET, the material of the first epitaxial layer 120 may be other source/drain region materials known to those skilled in the art, and the doping concentration may be adjusted as needed.
Further, a hard mask layer 101 is formed on the first epitaxial layer 120, and then the hard mask layer 101 of the first region, i.e., the IO region, is etched and cleaned, as shown in fig. 2. The material of the hard mask layer 101 may be, for example, an oxide.
In this step, the metal is deposited by a deposition process, including but not limited to: an oxide is deposited on the surface of the first epitaxial Layer 120 by Electron Beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), sputtering, and the like, to form the hard mask Layer 101.
The hard mask layer 101 over the substrate IO area of the hard mask layer 101 is then removed by dry etching, such as ion milling etching, plasma etching, reactive ion etching, laser ablation, or by wet etching using an etchant solution. By controlling the time of etching, or using a selective etchant, the depth of etching can be controlled such that etching stops at the surface of the first epitaxial layer 120. The surface of the IO region first epitaxial layer 120 is cleaned by a suitable cleaning process, such as wet cleaning (including RCA cleaning, dilute chemical cleaning, IMEC cleaning, etc.) or dry cleaning (including plasma cleaning, thermal oxidation, etc.), to remove the remaining hard mask.
Further, epitaxial growth of the second epitaxial layer 130 is performed in the IO region, the second epitaxial layer 130 is used for forming a channel, and the material of the second epitaxial layer 130 is a semiconductor material, such as undoped silicon, and has a height greater than that of the Core region hard mask layer 101, as shown in fig. 3.
In this step, the second epitaxial layer 130 is epitaxially grown on the surface of the first epitaxial layer 120 in the IO region by using an epitaxial process such as a vapor phase epitaxy process (VPE), a liquid phase epitaxy process (LPE), a Molecular Beam Epitaxy (MBE), a Rapid Thermal Chemical Vapor Deposition (RTCVD) epitaxy, an ultra-high vacuum chemical vapor deposition (UHVCVD) epitaxy, and an ion beam epitaxy, and the material of the second epitaxial layer 130 may be, for example, undoped silicon. In some other embodiments, the material of the second epitaxial layer 130 may also be other conductive channel materials known to those skilled in the art, and is not limited to undoped silicon materials.
Further, the hard mask layer 101 in the Core region is removed and cleaned, a third epitaxial layer 131 is epitaxially grown on the surface of the first epitaxial layer 120 in the Core region, the third epitaxial layer 131 is used for forming a channel, the material of the third epitaxial layer 131 is a semiconductor material, for example, undoped silicon, and the height of the third epitaxial layer 131 is smaller than the height of the second epitaxial layer 130 in the IO region, wherein the surface of the second epitaxial layer 130 has no mask, when the third epitaxial layer 131 is grown, the second epitaxial layer 130 can be epitaxially grown again, and a mask can be formed on the surface of the second epitaxial layer 130 to prevent the second epitaxial layer 130 from being epitaxially grown again. The height of the second epitaxial layer 130 may be 2 to 10 times the height of the third epitaxial layer 131, as shown in fig. 4.
In this step, the hard mask layer 101 in the Core region may be removed by conventional dry or wet etching such as photolithography, etching and/or etching, wherein the dry etching includes ion milling etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc., and the wet etching includes HF acid solution, BHF acid solution, etc. In the etching, the etching may be stopped at the surface of the first epitaxial layer 120 by controlling the etching time or using a selective etchant, for example. The surface of the first epitaxial layer 120 in the Core region is then cleaned by a suitable cleaning process, such as wet cleaning (including RCA cleaning, dilute chemical cleaning, IMEC cleaning, etc.) or dry cleaning (including plasma cleaning, thermal oxidation, etc.), to remove the remaining hard mask.
Then, a third epitaxial layer 131 is epitaxially grown on the surface of the first epitaxial layer 120 in the Core region by using the above-mentioned known epitaxial process, for example, a vapor phase epitaxy process (VPE), a liquid phase epitaxy process (LPE), a Molecular Beam Epitaxy (MBE), a Rapid Thermal Chemical Vapor Deposition (RTCVD) epitaxy, an ultra-high vacuum chemical vapor deposition (UHVCVD) epitaxy, an ion beam epitaxy, and the like, and at the same time, the second epitaxial layer 130 may be epitaxially grown again, and the material of the third epitaxial layer 131 may be, for example, undoped silicon. In some other embodiments, the material of the third epitaxial layer 131 may also be other conductive channel materials known to those skilled in the art, and is not limited to undoped silicon materials. The height of the second epitaxial layer 130 is about 2-10 times of the third epitaxial layer 131.
Further, epitaxial growth is performed on the surfaces of the second epitaxial layer 130 and the third epitaxial layer 131 to form a fourth epitaxial layer 121, and the surface relief state of the fourth epitaxial layer 121 is consistent with the surface shape of the second epitaxial layer 130 and the third epitaxial layer 131, is a conformal basis, and is in a step shape, as shown in fig. 5. The fourth epitaxial layer 121 is used to form a drain region or a source region of the VFET, and the material of the fourth epitaxial layer 121 is a semiconductor material, such as a doped silicon layer.
In this step, the fourth epitaxial layer 121 is formed by epitaxial growth on the surfaces of the second epitaxial layer 130 and the third epitaxial layer 131 by using an epitaxial process such as a vapor phase epitaxy process (VPE), a liquid phase epitaxy process (LPE), a Molecular Beam Epitaxy (MBE), a Rapid Thermal Chemical Vapor Deposition (RTCVD) epitaxy, an ultra-high vacuum chemical vapor deposition (UHVCVD) epitaxy, an ion beam epitaxy, and the like, and the material may be, for example, a doped silicon layer. Embodiments of the present invention are not limited thereto, and since the fourth epitaxial layer 121 is used to form a drain region or a source region in the device VFET, the material of the fourth epitaxial layer 121 may be other drain/source region materials known to those skilled in the art, and the doping concentration may be adjusted as needed.
Further, a first protection layer 140 and a hard mask layer 102 are deposited and formed on the surface of the fourth epitaxial layer 121, wherein the first protection layer 140 is used for protecting the fourth epitaxial layer 121, the material may be, for example, silicon nitride (SiN), and the material of the hard mask layer 102 may be, for example, oxide, as shown in fig. 6.
In this step, the first protection layer 140 and the hard mask layer 102 are sequentially deposited on the surface of the fourth epitaxial layer 121 by using the above-mentioned known deposition process, such as electron beam evaporation, chemical vapor deposition (cvd) process, physical vapor deposition (pvd) process, Atomic Layer Deposition (ALD), sputtering, and the like. The surfaces of the first protective layer 140 and the hard mask layer 102 have the same undulation state as the fourth epitaxial layer 121, and are both stepped.
Further, a material layer 103 is formed on the hard mask layer 102 to planarize the surface of the semiconductor structure, as shown in fig. 7. A photoresist layer is formed on the surface of the material layer 103, the photoresist is patterned to form a mask, and a portion of the hard mask layer 102 is removed through the mask.
In this step, the material layer 103 is formed by, for example, a spin process, or a deposition process such as CVD or PVD may be used to planarize the surface of the semiconductor structure. A photoresist is then formed on the planar surface of the material layer 103 using a spin coating process, the photoresist is patterned in the X direction using a photolithography process (including exposure and development steps) to form a mask, portions of the hard mask layer 102 are removed through the mask using an etching process (e.g., ion mill etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc.), the etching time is controlled, or a selective etchant is used to stop when the etching reaches the surface of the first protective layer 140, and then the photoresist layer is removed. The hard mask layer 102 and the photoresist layer may be removed by a dry removal process and a wet removal process, which are conventional processes known to those skilled in the art.
X, Y, Z are perpendicular to each other, and the Z direction is perpendicular to the substrate surface, as shown in FIG. 7, the plane formed by the X and Y directions is parallel to the substrate surface,
further, a material layer 103 is formed on the semiconductor structure again, the surface of the semiconductor structure is planarized, a photoresist layer is formed on the surface of the material layer 103, the photoresist is patterned to form a mask, and a portion of the hard mask layer 102 is removed again through the mask, as shown in fig. 8.
In this step, the material layer 103 is formed by, for example, a spin process, or a deposition process such as CVD or PVD may be used to planarize the surface of the semiconductor structure. A photoresist is then formed on the planar surface of the material layer 103 using a spin coating process, the photoresist is patterned in the Y direction using a photolithography process (including exposure and development steps) to form a mask, and portions of the hard mask layer 102 are removed again through the mask using an etching process (e.g., ion mill etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc.), so that the hard mask layer 102 is patterned. The etching time is controlled or a selective etchant is used to stop when the etching reaches the surface of the first protective layer 140, and then the photoresist layer and the material layer 103 are removed. The hard mask layer 102, the photoresist layer and the material layer 103 may be removed by a dry removal process and a wet removal process, which are conventional processes known to those skilled in the art.
Further, the semiconductor structure is etched using the patterned hard mask layer 102 as a mask to form a first pillar stack structure, as shown in fig. 9. The first columnar stack structure includes a first epitaxial layer (120), a second epitaxial layer (130) or a third epitaxial layer (131), a fourth epitaxial layer (121), and a first protective layer (140).
In this step, the semiconductor structure is etched using the patterned hard mask layer 102 as a mask, for example, using a dry etching (e.g., reactive ion etching), a wet etching and/or a vacuum etching process, to form a first pillar-shaped stacked structure, which may have a cross-sectional shape of a circle, a triangle, a quadrangle or other shapes. The etchant used in the dry etching is, for example, an etching gas, and the etchant used in the wet etching is, for example, an etching solution. Due to the etchant selectivity, or by controlling the etching time, the etching may be stopped at the top surface of the semiconductor substrate 110. Then, the hard mask layer 102 is removed by ashing or solvent dissolution. The formed first columnar stacked structure includes one or more first columnar stacked structures in the Core and IO regions of the semiconductor substrate 110, that is, one or more first columnar stacked structures formed by the foregoing method may be formed in the Core and/or IO regions according to actual needs, where the height of the first columnar stacked structure in the IO region is greater than that of the first columnar stacked structure in the Core region because the height of the second epitaxial layer 130 is greater than that of the third epitaxial layer 131.
Wherein the second epitaxial layer (130) and the third epitaxial layer (131) provide a channel of a transistor.
Further, a gate dielectric layer 150 is deposited on the surface of the semiconductor structure, and the gate dielectric layer 150 covers the surface of the semiconductor substrate 110 and the surface of the columnar laminated structure, so as to protect the first columnar laminated structure and the source/drain region, and to separate the source/drain region, the channel, and the gate, and the material may be, for example, an oxide and/or a nitride, as shown in fig. 10.
In this step, a gate dielectric layer 150, which may be, for example, silicon oxide or silicon nitride, is formed on the surface of the semiconductor structure by a known deposition process, such as electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, and the like. Other gate dielectric materials are also possible, such as a composite layer of SiO2/Si3N4, a high-K material, N (nitrogen) -doped or nitrided SiO2, and the like, which are well known to those skilled in the art.
Further, a second protection layer 141 is deposited on the gate dielectric layer 150 on the surface of the semiconductor substrate 110, and etching back is performed to make the surface of the second protection layer 141 not higher than the surface of the first epitaxial layer 120 in the first columnar stacked structure, and preferably, the surface of the second protection layer 141 may be flush with the surface of the first epitaxial layer 120. Then, the first sacrificial layer 104 is deposited on the second protective layer 141, and etching back is performed so that the surface of the first sacrificial layer 104 is not lower than the surface of the third epitaxial layer 131 in the first columnar stack structure in the Core region, and preferably, the surface of the first sacrificial layer 104 may be flush with the surface of the third epitaxial layer 131, as shown in fig. 10. The second protective layer 141 is used to protect the first epitaxial layer 120 and expose a region for forming a gate, and the material of the second protective layer 141 is an oxide or a nitride, and may be, for example, silicon nitride (SiN). The first sacrificial layer 104 is used to protect the gate region of the Core region, and may be, for example, amorphous silicon (a-Si).
In this step, the second protective layer 141 is formed on the surface of the semiconductor structure by an atomic layer deposition process, or by other known deposition processes, such as electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, etc., and the second protective layer 141 is etched back by an etching process, such as atomic layer etching, so that the surface of the second protective layer 141 is not higher than the surface of the first epitaxial layer 120, and preferably, the surface of the second protective layer 141 may be flush with the surface of the first epitaxial layer 120. According to the above process, a first sacrificial layer 104 is formed on the surface of the semiconductor structure and etched back, the etching method includes but is not limited to: ion milling etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc., makes the surface of the first sacrificial layer 104 not lower than the surface of the third epitaxial layer 131, and preferably, the surface of the first sacrificial layer 104 may be flush with the surface of the third epitaxial layer 131. The material of the second protection layer 141 is, for example, an oxide or a nitride, and may be silicon nitride, and the material of the first sacrificial layer 104 may be, for example, amorphous silicon (a-Si), or may be other materials that can be used as a protection layer and a sacrificial layer, which are well known to those skilled in the art.
Further, the third protection layer 142 is deposited again on the first sacrificial layer 104, and etching back is performed so that the surface of the third protection layer 142 is not higher than the surface of the fourth epitaxial layer 121 in the first columnar stacked structure in the Core region, and preferably, the surface of the third protection layer 142 may be flush with the surface of the fourth epitaxial layer 121, and only the third protection layer 142 in the Core region remains, as shown in fig. 11. The third protection layer 142 is used to protect the fourth epitaxial layer 121 in the Core region, and may be made of, for example, an oxide or a nitride, and may be silicon nitride (SiN).
In this step, the metal layer is deposited by an atomic layer deposition process, or by other known deposition processes, such as electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, etc., forming a third protection layer 142 on the surface of the semiconductor structure, removing the third protection layer 142 in the IO region by using an etching process (e.g., ion milling etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc.), controlling the etching time, or, using a selective etchant, such that etching stops when the surface of first sacrificial layer 104 is reached, the third protection layer 142 in the Core region is then etched back by an etching process such as atomic layer etching, so that the surface of the third protection layer 142 is not higher than the surface of the fourth epitaxial layer 121 in the Core region, and preferably, the surface of the third protection layer 142 may be flush with the surface of the fourth epitaxial layer 121.
Further, a second sacrificial layer 105 is deposited on the surface of the semiconductor structure, and is etched back, so that the surface of the second sacrificial layer 105 is not lower than the surface of the second epitaxial layer 130 in the IO region, and preferably, the surface of the second sacrificial layer 105 may be flush with the surface of the second epitaxial layer 130, as shown in fig. 12. The second sacrificial layer 105 and the first sacrificial layer 104 are used to protect the gate region of the IO region, and the material may be amorphous silicon (a-Si), for example.
In this step, the second sacrificial layer 105 is formed on the surface of the semiconductor structure by an atomic layer deposition process, or other known deposition processes such as electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, etc., and the second sacrificial layer 105 is etched back by an etching process (e.g., ion mill etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc.) so that the surface of the second sacrificial layer 105 is not lower than the surface of the second epitaxial layer 130 in the IO region. The material of the second sacrificial layer 105 may be, for example, amorphous silicon, or other materials known to those skilled in the art that can be used as a sacrificial layer.
Further, a fourth protection layer 143 is deposited on the surface of the second sacrificial layer 105, and etching back is performed, so that the surface of the fourth protection layer 143 is not lower than the surface of the fourth epitaxial layer 121 in the IO region in the first columnar stacked structure, and preferably, the surface of the fourth protection layer 143 may be flush with the surface of the fourth epitaxial layer 121, as shown in fig. 13. The fourth protection layer 143 is used to protect the fourth epitaxial layer 121 of the IO region, and may be made of silicon nitride (SiN), for example.
In this step, the fourth protection layer 143 is formed on the surface of the semiconductor structure through an atomic layer deposition process, or through other known deposition processes, such as electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, and the like, and the fourth protection layer 143 is etched back through an etching process, such as atomic layer etching, so that the surface of the fourth protection layer 143 is not lower than the surface of the fourth epitaxial layer 121 in the IO region, and preferably, the surface of the fourth protection layer 143 may be flush with the surface of the fourth epitaxial layer 121.
Further, the fourth protective layer 143 and the second sacrificial layer 105 in the Core region are removed, exposing the surface of the third protective layer 142, as shown in fig. 14.
In this step, for example, a dry etching (e.g., reactive ion etching), wet etching, or vacuum etching process is employed. The etchant used in the dry etching is, for example, an etching gas, and the etchant used in the wet etching is, for example, an etching solution. The etching is stopped at the top surface of the third protective layer 142 due to the selectivity of the etchant, or by controlling the etching time.
Further, an oxide layer 170 is deposited to cover the pillar stack structure in the Core and IO regions, and chemical mechanical polishing is performed, as shown in fig. 15, the surface of the oxide layer 170 is higher than the gate dielectric layer 150, and the oxide layer 170 has a flat surface, which is convenient for the subsequent process.
In this step, an oxide layer 170 is formed on the surface of the semiconductor structure through a known deposition process, such as an atomic layer deposition process, electron beam Evaporation (EBM), Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), sputtering, etc., and the oxide layer 170 is surface-planarized through a Chemical Mechanical Polishing (CMP) process.
Further, a photoresist is formed on the surface of the oxide layer 170, the photoresist is patterned, the semiconductor structure is etched using the photoresist as a mask, and a second stacked structure surrounding the first columnar stacked structure is formed in the Core and IO regions, respectively, as shown in fig. 16. The second stacked structure includes a second protection layer (141), a first sacrificial layer (104) or the first sacrificial layer (104) and a second sacrificial layer (105), a third protection layer (142) or a fourth protection layer (143), and an oxide layer (170).
In this step, a photoresist is formed on the surface of the oxide layer 170 by using a spin coating process, the photoresist is patterned by exposing and developing, and the patterned photoresist is used as a mask to etch the semiconductor structure by using a dry etching process (e.g., ion milling etching, Reactive Ion Etching (RIE), plasma etching, ion beam etching, laser ablation, etc.) or a wet etching process, thereby forming the second stacked structure. The etchant used in the dry etching is, for example, an etching gas, and the etchant used in the wet etching is, for example, an etching solution. By controlling the etching time, or the selectivity of the etchant, the etching can be stopped at the top surface of the semiconductor substrate 110. Then, the photoresist is removed by ashing or solvent dissolution, and a second stacked structure surrounding the first columnar stacked structure is formed in the Core and IO regions of the semiconductor substrate 110, respectively.
Further, the first sacrificial layer 104 and the second sacrificial layer 105 in the second stacked structure are removed to expose a gate region of the transistor, wherein the first epitaxial layer 120 and the fourth epitaxial layer 121 form a source region and a drain region of the transistor, respectively, the second epitaxial layer 130 and the third epitaxial layer 131 are used for forming a channel of the transistor, and the gate region is used for forming a gate structure, as shown in fig. 17.
In this step, the first sacrificial layer 104 and the second sacrificial layer 105 in the second stacked structure of the Core and IO regions are etched by the above-described known etching process, and the first sacrificial layer 104 and the second sacrificial layer 105 are removed to expose the gate region of the transistor. In the etching, an etchant having high selectivity may be used so that the sacrificial layer is removed with little or no influence on other portions of the second stacked structure.
Further, gate structures 180 and 181 are deposited in the gate region where the sacrificial layer is removed, and the gate structures 180 and 181 may be single-layer structures of the gate conductor or composite-layer structures including the gate oxide and the gate conductor, as shown in fig. 18. Gate structures 180 and 181 are used to control the switching of carriers in the second epitaxial layer 130 and the third epitaxial layer 131 (used to form the channel), respectively, wherein the gate conductor can be, for example, polysilicon, metal, or other materials known to those skilled in the art that can be used as the gate conductor.
In this step, gate structures 180 and 181 are deposited on the gate regions of the Core and IO regions, respectively, using known deposition processes as described above, to form transistors. The gate structures 180 and 181 may be provided in a single layer structure or a composite layer structure as necessary.
Fig. 19 is a cross-sectional view of the semiconductor device of fig. 18 according to the first embodiment of the present invention, taken along direction AA, which is a direction parallel to the substrate surface. As shown in the figure, the cross-sectional shape of the first columnar laminated structure is a circle, and those skilled in the art can set the cross-sectional shape of the first columnar laminated structure to be a triangle, a quadrangle, or other shapes as required.
Fig. 20 is a cross-sectional view of a semiconductor device according to a second embodiment of the present invention along the AA direction. In contrast to the first embodiment, the cross-sectional area of the first columnar structure in the first region (IO area) and the cross-sectional area of the first columnar structure in the second region (Core area) may be different, and in particular, the cross-sectional area of the patterned pattern of the hard mask layer 102 may be adjusted to be different.
Fig. 21 shows a side view and a cross-sectional view along the AA direction of a semiconductor device according to a third embodiment of the present invention. Compared with the first and second embodiments, the semiconductor device of the third embodiment may have one or more second stacked structures surrounding the first columnar stacked structure formed in the first region (IO area) and the second region (Core area), and the number of the first columnar stacked structures in each of the second stacked structures may be one or more, and may be the same or different.
The first pillar stack structure of the first region (IO area) referred to in the present invention may be one or more first stack structures generated by the method described in the first embodiment. The number, the cross-sectional area, and the mutual spacing position of the first columnar stacked structures in the first region can be determined by adjusting the number, the cross-sectional area, and the mutual spacing position of the patterned patterns of the hard mask layer 102 in the first region in the first embodiment. The first columnar stack structure of the second region (Core area) referred to in the present invention may be one or more first stack structures generated by the method described in the first embodiment. The number, the cross-sectional area, and the mutual spacing position of the first columnar stacked structures in the second region can be determined by adjusting the number, the cross-sectional area, and the mutual spacing position of the patterns formed by patterning the hard mask layer 102 in the second region in the first embodiment.
The second stacked structure of the first region referred to in the present invention may be one or more second stacked structures generated by the method described in the first embodiment. The second stacked structure of the second region referred to in the present invention may be one or more second stacked structures generated by the method described in the first embodiment. The number, number and mutual positions of the second laminated structures surrounding the first columnar laminated structure formed in the first area and the second area are determined by the distribution situation of the first laminated structure in the first area and the second area respectively.
According to the embodiments described above, after forming the gate structure of the transistor, other structures of the semiconductor device, such as wires or electrodes, etc., may be formed on the resulting semiconductor structure, thereby completing other portions of the VFET.
In the above description, the technical details of patterning, etching, and the like of each layer are not described in detail. It will be appreciated by those skilled in the art that layers, regions, etc. of the desired shape may be formed by various technical means. In addition, in order to form the same structure, those skilled in the art can also design a method which is not exactly the same as the method described above. In addition, although the embodiments are described separately above, this does not mean that the measures in the embodiments cannot be used in advantageous combination.
The embodiments of the present invention have been described above. However, these examples are for illustrative purposes only and are not intended to limit the scope of the present invention. The scope of the invention is defined by the appended claims and equivalents thereof. Various alternatives and modifications can be devised by those skilled in the art without departing from the scope of the invention, and these alternatives and modifications are intended to fall within the scope of the invention.

Claims (17)

1. A method for manufacturing a semiconductor device channel is characterized by comprising the following steps:
forming a first epitaxial layer on a semiconductor substrate;
forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region of the semiconductor substrate respectively, wherein the height of the second epitaxial layer is greater than that of the third epitaxial layer;
forming a fourth epitaxial layer on the second epitaxial layer and the third epitaxial layer;
forming a first protective layer on the fourth epitaxial layer;
forming a first columnar laminated structure in the first area and the second area respectively;
the step of forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region respectively comprises:
forming a hard mask layer on the first epitaxial layer of the second region;
taking the hard mask layer as a mask, and epitaxially growing a second epitaxial layer on the first epitaxial layer of the first region;
removing the hard mask layer of the second region;
epitaxially growing a third epitaxial layer on the first epitaxial layer of the second region,
the second epitaxial layer and the third epitaxial layer provide a channel of a transistor.
2. The method of manufacturing of claim 1, wherein the first epitaxial layer and the fourth epitaxial layer form a source region and a drain region, respectively, of a transistor.
3. The method of claim 1, wherein the height of the second epitaxial layer is 2-10 times the height of the third epitaxial layer.
4. The method of claim 1, wherein the step of forming a hard mask on the first epitaxial layer of the second region comprises:
forming a hard mask layer on the first epitaxial layer;
and removing the hard mask layer of the first area.
5. A method for manufacturing a semiconductor device, comprising:
forming a first epitaxial layer on a semiconductor substrate;
forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region of the semiconductor substrate respectively, wherein the height of the second epitaxial layer is greater than that of the third epitaxial layer;
forming a fourth epitaxial layer on the second epitaxial layer and the third epitaxial layer;
forming a first protective layer on the fourth epitaxial layer;
forming a first columnar laminated structure in the first area and the second area respectively;
forming a grid dielectric layer on the surface of the substrate and the surface of the columnar laminated structure;
forming a protective layer, a sacrificial layer and a protective layer structure on the grid dielectric layer;
forming a second laminated structure which is formed by the protective layer and the sacrificial layer, wherein the protective layer surrounds the first columnar laminated structure;
removing the sacrificial layer to expose the channel of the semiconductor device and form a gate region,
the step of forming a second epitaxial layer and a third epitaxial layer on the first epitaxial layer corresponding to the first region and the second region respectively comprises:
forming a hard mask layer on the first epitaxial layer of the second region;
taking the hard mask layer as a mask, and epitaxially growing a second epitaxial layer on the first epitaxial layer of the first region;
removing the hard mask layer of the second region;
epitaxially growing a third epitaxial layer on the first epitaxial layer of the second region,
the first epitaxial layer and the fourth epitaxial layer form a source region and a drain region of the transistor respectively, and the second epitaxial layer and the third epitaxial layer provide a channel of the transistor.
6. The method of claim 5, wherein the height of the second epitaxial layer is 2-10 times the height of the third epitaxial layer.
7. The method of claim 5, wherein the step of forming a hard mask on the first epitaxial layer of the second region comprises:
forming a hard mask layer on the first epitaxial layer;
and removing the hard mask layer of the first area.
8. The method according to claim 5, wherein the step of forming the first columnar stack structure in each of the first region and the second region comprises:
forming a hard mask layer on the first protective layer;
forming a material layer on the hard mask layer;
forming a patterned photoresist layer on the material layer;
etching the hard mask layer by taking the photoresist layer as a mask;
and etching the semiconductor structure by taking the etched hard mask layer as a mask, and respectively forming a first columnar laminated structure in the first region and the second region.
9. The method of manufacturing according to claim 5, wherein a cross-sectional shape of the first columnar laminated structure includes: circular, triangular, quadrilateral.
10. The method according to claim 5, wherein the step of forming the protective layer, the sacrificial layer and the protective layer structure comprises:
forming a second protective layer around the columnar laminated structure;
forming a first sacrificial layer on the second protective layer;
forming a third protective layer on the first sacrificial layer of the second region;
forming a second sacrificial layer on the first sacrificial layer of the first region;
forming a fourth protection layer on the second sacrificial layer of the first region;
wherein the second protective layer separates the first sacrificial layer from the first epitaxial layer, the third protective layer separates the first sacrificial layer from the fourth epitaxial layer, and the fourth protective layer separates the second sacrificial layer from the fourth epitaxial layer.
11. The method of claim 10, wherein the second, third, and fourth passivation layers are formed by a method comprising: atomic layer deposition.
12. The method of claim 10, wherein the step of forming a fourth protection layer on the second sacrificial layer in the first region further comprises:
forming an oxide layer on the fourth protective layer of the first region and the third protective layer of the second region,
wherein the oxide layer planarizes the semiconductor structure surface of the first and second regions.
13. The method of manufacturing according to claim 10 or 12, wherein the step of forming a second stacked structure in which the protective layer, the sacrificial layer, and the protective layer surround the first columnar stacked structure includes:
forming a mask on the surfaces of the third protective layer and the fourth protective layer or the oxide layer;
patterning the mask;
and etching the protective layer, the sacrificial layer and the protective layer structure to form a second laminated structure surrounding the first columnar laminated structure.
14. The manufacturing method of claim 10, wherein the height of the protection layer is not greater than the height of the first epitaxial layer or the fourth epitaxial layer, the height of the sacrificial layer of the first region is not less than the height of the second epitaxial layer, and the height of the sacrificial layer of the second region is not less than the height of the third epitaxial layer.
15. The method of claim 5, wherein a gate structure is formed in the gate region, and the gate structure comprises a single layer structure and a composite layer structure.
16. The method of claim 15, wherein the gate structure comprises one or more of polysilicon, metal, and oxide.
17. A semiconductor device characterized by being produced by the production method as recited in any one of claims 5 to 16.
CN201910411962.9A 2019-05-17 2019-05-17 Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof Active CN110265358B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910411962.9A CN110265358B (en) 2019-05-17 2019-05-17 Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910411962.9A CN110265358B (en) 2019-05-17 2019-05-17 Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
CN110265358A CN110265358A (en) 2019-09-20
CN110265358B true CN110265358B (en) 2021-12-03

Family

ID=67913314

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910411962.9A Active CN110265358B (en) 2019-05-17 2019-05-17 Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
CN (1) CN110265358B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112825309B (en) * 2019-11-20 2024-03-19 中芯国际集成电路制造(上海)有限公司 Semiconductor device and forming method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632712B1 (en) * 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
US9570356B1 (en) * 2015-12-07 2017-02-14 International Business Machines Corporation Multiple gate length vertical field-effect-transistors
CN108292681A (en) * 2015-12-16 2018-07-17 国际商业机器公司 The variable gate length of vertical transistor
CN109244075A (en) * 2018-09-04 2019-01-18 长江存储科技有限责任公司 The manufacturing method of 3D memory device
CN109314140A (en) * 2016-06-30 2019-02-05 国际商业机器公司 Vertical field-effect transistor device with more channel lengths

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10236214B2 (en) * 2016-06-29 2019-03-19 International Business Machines Corporation Vertical transistor with variable gate length
US10043900B1 (en) * 2017-03-20 2018-08-07 International Business Machines Corporation Vertical transport Fin field effect transistors on a substrate with varying effective gate lengths
US10008417B1 (en) * 2017-06-12 2018-06-26 International Business Machines Corporation Vertical transport fin field effect transistors having different channel lengths
US10249538B1 (en) * 2017-10-03 2019-04-02 Globalfoundries Inc. Method of forming vertical field effect transistors with different gate lengths and a resulting structure
US10580770B2 (en) * 2017-11-14 2020-03-03 International Business Machines Corporation Vertical transistors with different gate lengths

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632712B1 (en) * 2002-10-03 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating variable length vertical transistors
US9570356B1 (en) * 2015-12-07 2017-02-14 International Business Machines Corporation Multiple gate length vertical field-effect-transistors
CN108292681A (en) * 2015-12-16 2018-07-17 国际商业机器公司 The variable gate length of vertical transistor
CN109314140A (en) * 2016-06-30 2019-02-05 国际商业机器公司 Vertical field-effect transistor device with more channel lengths
CN109244075A (en) * 2018-09-04 2019-01-18 长江存储科技有限责任公司 The manufacturing method of 3D memory device

Also Published As

Publication number Publication date
CN110265358A (en) 2019-09-20

Similar Documents

Publication Publication Date Title
US10998235B2 (en) FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
US8846491B1 (en) Forming a diffusion break during a RMG process
US9640625B2 (en) Self-aligned gate contact formation
US20150340491A1 (en) Spacer chamfering for a replacement metal gate device
US9159630B1 (en) Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US8999815B2 (en) Method to form finFET/trigate devices on bulk semiconductor wafers
US20160020277A1 (en) Three-dimensional electrostatic discharge semiconductor device
US9472572B2 (en) Fin field effect transistor (finFET) device including a set of merged fins formed adjacent a set of unmerged fins
US9123654B2 (en) Trilayer SIT process with transfer layer for FINFET patterning
WO2021081155A1 (en) Methods for gaa i/o formation by selective epi regrowth
CN110838520A (en) Method for manufacturing semiconductor device
US11233137B2 (en) Transistors and methods of forming transistors using vertical nanowires
US7666800B2 (en) Feature patterning methods
CN110265358B (en) Method for manufacturing semiconductor device channel, semiconductor device and manufacturing method thereof
US10276560B2 (en) Passive device structure and methods of making thereof
KR102458018B1 (en) Bipolar junction transistor(bjt) comprising a multilayer base dielectric film
WO2018049339A1 (en) High performance super-beta npn (sbnpn)
CN108155100B (en) Method for forming semiconductor device
US20150048486A1 (en) Spatial semiconductor structure and method of fabricating the same
US20220310391A1 (en) Method for manufacturing mask structure, semiconductor structure and manufacturing method thereof
US20200203221A1 (en) Semiconductor structure and method of processing the same
CN118073284A (en) Method for forming epitaxial source drain metal silicide
CN116435255A (en) Preparation method of self-aligned contact hole
CN112750900A (en) Bipolar Junction Transistor (BJT) and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant