CN109842769B - Fixed pattern noise elimination method and device, image sensor and electronic equipment - Google Patents

Fixed pattern noise elimination method and device, image sensor and electronic equipment Download PDF

Info

Publication number
CN109842769B
CN109842769B CN201711215127.5A CN201711215127A CN109842769B CN 109842769 B CN109842769 B CN 109842769B CN 201711215127 A CN201711215127 A CN 201711215127A CN 109842769 B CN109842769 B CN 109842769B
Authority
CN
China
Prior art keywords
transmission path
pixel
initial transmission
image signal
fixed pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711215127.5A
Other languages
Chinese (zh)
Other versions
CN109842769A (en
Inventor
姚慧君
刘坤
毛水江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BYD Semiconductor Co Ltd
Original Assignee
BYD Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BYD Semiconductor Co Ltd filed Critical BYD Semiconductor Co Ltd
Priority to CN201711215127.5A priority Critical patent/CN109842769B/en
Publication of CN109842769A publication Critical patent/CN109842769A/en
Application granted granted Critical
Publication of CN109842769B publication Critical patent/CN109842769B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Image Processing (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Abstract

The invention provides a fixed mode noise elimination method, a fixed mode noise elimination device, an image sensor and electronic equipment, wherein the method comprises the steps of determining each pixel in a pixel array structure, transmitting a generated image signal to an initial transmission path of a data acquisition module, and obtaining an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; the image signal generated by each pixel is acquired based on the converted transmission path. The invention can improve the effect of eliminating the fixed pattern noise on the row, and only needs to additionally increase smaller chip area, thereby leading the product cost to be lower.

Description

Fixed pattern noise elimination method and device, image sensor and electronic equipment
Technical Field
The present invention relates to the field of image processing technologies, and in particular, to a fixed pattern noise cancellation method and apparatus, an image sensor, and an electronic device.
Background
Fixed Pattern Noise (FPN) has been an important factor affecting performance in cmos image sensors. The column FPN is caused by non-uniformity of transistor characteristic parameters and passive element parameters, threshold difference of transistors in column amplifiers of different columns, and bias difference of the transistors. They appear as vertical stripes in the image. The human eye is particularly sensitive to such noise, so that the influence on the image quality of the image sensor is very large, and therefore, the effective reduction of the FPN is an important link for designing the cmos image sensor.
In the related art, the CMOS image sensor generally employs a conventional cds (correlated Double sample) technique to suppress FPN noise. This technique eliminates the FPN noise in the analog domain by the differential action of the column differential amplifiers and the output differential amplifiers, and also eliminates the FPN by a specific algorithm by acquiring the FPN information by adding dummy pixels.
In this manner, subsequent processing circuits of the image sensor, such as sample and hold circuits, column buffers, etc., also introduce a large amount of FPN. In addition, under the limitation of pixel spacing, the design and placement of column differential amplifiers are difficult, the structure is relatively complex, more random noise is introduced, and due to the inconsistency of the process, the method for removing the FPN by adding dummy pixels must use multiple rows of dummy pixels and match corresponding algorithms, so that the complexity of the system is greatly increased, and a large chip area is consumed.
Disclosure of Invention
The present invention is directed to solving, at least to some extent, one of the technical problems in the related art.
Therefore, an object of the present invention is to provide a fixed pattern noise cancellation method, which can improve the cancellation effect of the fixed pattern noise on the columns, and only needs to additionally increase a smaller chip area, so that the product cost is lower.
Another object of the present invention is to provide a fixed pattern noise cancellation apparatus.
Another object of the present invention is to provide an image sensor.
Another object of the present invention is to provide an electronic device.
It is another object of the invention to propose a non-transitory computer-readable storage medium.
It is a further object of the invention to propose a computer program product.
In order to achieve the above object, a fixed pattern noise cancellation method according to an embodiment of the first aspect of the present invention is applied to a data acquisition module of an image sensor, where the data acquisition module is configured to acquire an image signal generated by imaging of each column of pixels in a pixel array structure, and the method includes: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; acquiring an image signal generated by each pixel based on the converted transmission path.
In the method for eliminating fixed pattern noise provided in the embodiment of the first aspect of the present invention, the initial transmission path is converted to obtain the converted transmission path, the converted transmission path is a different path from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the effect of eliminating fixed pattern noise on the columns can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
In order to achieve the above object, a fixed pattern noise cancellation apparatus according to an embodiment of the present invention is applied to a data acquisition module of an image sensor, where the data acquisition module is configured to acquire an image signal generated by imaging of each column of pixels in a pixel array structure, and the apparatus includes: the determining module is used for determining each pixel in the pixel array structure, transmitting the generated image signal to an initial transmission path of the data acquisition module, and obtaining an initial transmission path corresponding to each pixel; a conversion module, configured to convert the initial transmission path to obtain a converted transmission path, where the converted transmission path is a different path from the initial transmission path; and the data acquisition module is used for acquiring the image signal generated by each pixel based on the converted transmission path.
The fixed pattern noise elimination apparatus provided in the embodiment of the second aspect of the present invention obtains the converted transmission path by converting the initial transmission path, the converted transmission path is a different path from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the elimination effect of the fixed pattern noise on the columns can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
An image sensor according to an embodiment of a third aspect of the present invention includes: the embodiment of the second aspect of the invention provides a fixed pattern noise elimination device.
In the image sensor provided by the embodiment of the third aspect of the present invention, the initial transmission path is converted to obtain the converted transmission path, the converted transmission path is a different path from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the effect of eliminating the fixed pattern noise on the columns can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
The fourth aspect of the present invention further provides an electronic device, which includes a memory and a processor, where the memory stores computer readable instructions, and the instructions, when executed by the processor, cause the processor to execute the fixed pattern noise cancellation method as set forth in the embodiment of the first aspect of the present invention.
In the electronic device provided by the embodiment of the second aspect of the present invention, the initial transmission path is converted to obtain the converted transmission path, the converted transmission path is a different path from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the effect of eliminating the fixed pattern noise on the columns can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
A fifth embodiment of the present invention provides a non-transitory computer-readable storage medium, wherein instructions, when executed by a processor of a terminal, enable the terminal to perform a fixed pattern noise cancellation method, the method comprising: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; acquiring an image signal generated by each pixel based on the converted transmission path.
The non-transitory computer-readable storage medium according to the fifth aspect of the present invention obtains a converted transmission path by converting the initial transmission path, where the converted transmission path is a different path from the initial transmission path, and acquires an image signal generated by each pixel based on the converted transmission path, so as to improve an effect of eliminating fixed pattern noise on a column, and only need to additionally increase a small chip area, so that the product cost is low.
To achieve the above object, an embodiment of the sixth aspect of the present invention provides a computer program product, wherein when instructions of the computer program product are executed by a processor, the computer program product executes a fixed pattern noise cancellation method, and the method includes: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; acquiring an image signal generated by each pixel based on the converted transmission path.
In the computer program product according to the embodiment of the sixth aspect of the present invention, the initial transmission path is converted to obtain a converted transmission path, the converted transmission path is a different path from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the effect of eliminating the noise in the fixed pattern on the column can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
Additional aspects and advantages of the invention will be set forth in part in the description which follows and, in part, will be obvious from the description, or may be learned by practice of the invention.
Drawings
The foregoing and/or additional aspects and advantages of the present invention will become apparent and readily appreciated from the following description of the embodiments, taken in conjunction with the accompanying drawings of which:
fig. 1 is a schematic flow chart of a fixed pattern noise cancellation method according to an embodiment of the present invention;
FIG. 2 is a schematic diagram of a transmission path of an image signal by a pixel array structure in the related art;
FIG. 3 is a schematic diagram illustrating a conversion method according to an embodiment of the present invention;
FIG. 4 is a flow chart illustrating a fixed pattern noise cancellation method according to another embodiment of the present invention;
fig. 5 is a schematic structural diagram of a fixed pattern noise cancellation apparatus according to an embodiment of the present invention;
fig. 6 is a schematic structural diagram of a fixed pattern noise cancellation apparatus according to another embodiment of the present invention.
Detailed Description
Reference will now be made in detail to embodiments of the present invention, examples of which are illustrated in the accompanying drawings, wherein like or similar reference numerals refer to the same or similar elements or elements having the same or similar function throughout. The embodiments described below with reference to the accompanying drawings are illustrative only for the purpose of explaining the present invention, and are not to be construed as limiting the present invention. On the contrary, the embodiments of the invention include all changes, modifications and equivalents coming within the spirit and terms of the claims appended hereto.
Fig. 1 is a flowchart illustrating a fixed pattern noise cancellation method according to an embodiment of the present invention.
The embodiment is applied to a data acquisition module of an image sensor, and the data acquisition module is used for acquiring an image signal generated by imaging of each column of pixels in a pixel array structure.
Referring to fig. 1, the method includes:
s101: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel.
The initial transmission path in the embodiment of the invention is a path for transmitting an image signal obtained by imaging of each pixel to the data acquisition module in the related art.
The embodiment of the invention provides a method for optimally designing the architecture of a data acquisition module supported by the initial transmission path, and the method can eliminate the fixed mode noise of a pixel array structure in the related technology, wherein the fixed mode noise is specifically column fixed mode noise.
Referring to fig. 2, fig. 2 is a schematic diagram of a transmission path of a pixel array structure in the related art for transmitting an image signal, where the transmission path includes four rows and four columns of decoding circuit units, each decoding circuit unit corresponds to one pixel in the pixel array structure, and is configured to receive the image signal obtained by imaging the corresponding pixel and transmit the image signal to a data acquisition module.
As can be seen from fig. 2, the paths through which the image signals are transmitted by the pixels of the same column are the same.
In the embodiment of the present invention, in order to determine fixed pattern noise caused by the same transmission path of image signals by pixels in the same column in fig. 2, each pixel in a pixel array structure is first determined, and the generated image signals are transmitted to an initial transmission path of a data acquisition module, so as to obtain an initial transmission path corresponding to each pixel.
S102: and converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path.
It is understood that the fixed pattern noise is mainly generated by the inconsistency of the semiconductor process manufacturing technology, and thus, the difference due to the inconsistency is determined as the manufacturing is completed in the related art. And image signals output by pixels in different rows in the same column are continuously acquired through the same transmission path, wherein the contained fixed pattern noise is the same.
Therefore, the embodiment of the invention provides that the initial transmission path is converted to obtain the converted transmission path, and the converted transmission path is a path different from the initial transmission path, so that the fixed mode noise can be effectively eliminated.
In addition, the embodiment of the invention only converts the transmission path of the image signal, changes the connection line of the decoding unit output to the data acquisition module corresponding to the row pixels according to a set scheme by utilizing the gaps among the pixels, does not increase the additional chip area, and is particularly more suitable for the project of the BSI process.
S103: the image signal generated by each pixel is acquired based on the converted transmission path.
Optionally, the converted transmission paths corresponding to pixels in different rows and the same column in the pixel array structure are different.
Referring to fig. 3, fig. 3 is a schematic diagram of a switching manner in an embodiment of the present invention, which includes paths COL _ OUT0, COL _ OUT1, COL _ OUT2, and COL _ OUT3, where the switched transmission paths of the pixels in different rows and the same column in each pixel array structure are different.
For example, referring to FIG. 3, the entire pixel array is organized into a cell in four ROWs, wherein the 0 th column pixel output signal of ROW ROW0 is connected to COL _ OUT 0; the 1 st column pixel output signal is connected to COL _ OUT 1; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 2; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 3; wherein the 0 th column pixel output signal of ROW1 is connected to COL _ OUT 1; the 1 st column pixel output signal is connected to COL _ OUT 2; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 3; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 0; wherein the 0 th column pixel output signal of ROW2 is connected to COL _ OUT 2; the 1 st column pixel output signal is connected to COL _ OUT 3; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 0; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 1; wherein the 0 th column pixel output signal of ROW3 is connected to COL _ OUT 3; the 1 st column pixel output signal is connected to COL _ OUT 0; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 1; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 2; so that the whole pixel array is processed correspondingly.
Optionally, referring to fig. 4, after the converting the initial transmission path to obtain a converted transmission path, the method further includes:
s401: a conversion relation table between the initial transmission path and the converted transmission path corresponding to each pixel is generated.
S402: and storing the conversion relation table into a storage unit of the column data exchange correction module.
S403: and transmitting the image signals acquired by all the pixels in the pixel array structure to a column data exchange correction module.
S404: and the column data exchange correction module carries out reverse conversion on the acquired image signals according to the conversion relation table.
The image signal after the reverse conversion is the same as an image signal acquired by collecting an image signal generated for each pixel based on the initial transmission path.
As an example, a conversion relation table formed by taking the conversion rule in fig. 3 as an example is shown in table 1.
TABLE 1
Figure BDA0001485376130000061
As an example, as shown in fig. 3 above, the processing circuits passed by the same column of different rows are completely scrambled, thereby avoiding the appearance of vertical stripes on the columns. However, the embodiments of the present invention are not limited to the number of columns of switching units and the switching order in the embodiments. Because the original image signal output sequence is completely disordered, after the image signal is subjected to a series of operations such as sample hold, column cache, analog-to-digital conversion and the like, the embodiment of the invention can also design a data exchange correction module comprising a storage unit, the image signal is transmitted to the data exchange correction module and then stored in the storage unit, and then the acquired image signal is reversely converted according to the sequence shown in fig. 3, namely, the image signal after column exchange is restored to the original image signal output sequence again and is transmitted to a subsequent digital circuit to be continuously subjected to corresponding processing, so that the finally output image signal is corrected to be output in a normal sequence, and the image disorder condition can not occur completely under the influence of column exchange.
Further, optionally, before the initial transmission path is converted, the pixel array structure may be further subjected to partition processing to obtain at least one sub-pixel array, and the initial transmission path corresponding to each pixel is converted in each sub-pixel array, so that the image signal conversion efficiency can be effectively improved.
As another example, referring to fig. 3 in combination, an embodiment of the present invention includes the steps of:
(1) the pixel arrays of the whole pixel array structure are grouped in units of four ROWs as ROW0, ROW1, ROW2 and ROW 3.
(2) The rows are grouped in units of 4 columns each.
(3) Wherein, the output signal of the 0 th column pixel of ROW0 is connected to COL _ OUT 0; the 1 st column pixel output signal is connected to COL _ OUT 1; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 2; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 3; wherein the 0 th column pixel output signal of ROW1 is connected to COL _ OUT 1; the 1 st column pixel output signal is connected to COL _ OUT 2; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 3; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 0; wherein the 0 th column pixel output signal of ROW2 is connected to COL _ OUT 2; the 1 st column pixel output signal is connected to COL _ OUT 3; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 0; the output signal of the pixel in the 3 rd column is connected to COL _ OUT 1; wherein the 0 th column pixel output signal of ROW3 is connected to COL _ OUT 3; the 1 st column pixel output signal is connected to COL _ OUT 0; the output signal of the pixel in the 2 nd column is connected to COL _ OUT 1; the column 3 pixel output signal is connected to COL _ OUT 2.
(4) By analogy, the arrangement mode is repeated in the whole pixel array, so that paths of image signals of different rows in the same column, which are acquired and subsequently processed by the image signals, are completely disturbed, and the vertical stripe phenomenon caused by fixed mode noise is eliminated.
(5) After the image signal is subjected to a series of operations such as sample-and-hold, column buffer, analog-to-digital conversion, etc., it needs to be processed by the digital correction circuit, and the digital correction circuit stores the received image signal into the storage unit shown in fig. 3 according to a predetermined sequence.
(6) The correction of column switching is realized by converting the image signal output reversely from the memory cell shown in fig. 3, wherein the COL0 output image signal of ROW0 is output at the 0 th pixel clk; wherein the COL1 output image signals of ROW0 are output at the 1 st pixel clk; wherein the COL2 output image signal of ROW0 is output at the 2 nd pixel clk; wherein the COL3 output image signal of ROW0 is output at the 3 rd pixel clk; the COL0 output image signal of ROW1 is output at the 3 rd pixel clk; wherein the COL1 output image signals of ROW1 are output at the 0 th pixel clk; wherein the COL2 output image signals of ROW1 are output at the 1 st pixel clk; wherein the COL3 output image signal of ROW1 is output at the 2 nd pixel clk; the COL0 output image signal of ROW2 is output at the 2 nd pixel clk; wherein the COL1 output image signal of ROW2 is output at the 3 rd pixel clk; wherein the COL2 output image signals of ROW2 are output at the 0 th pixel clk; wherein the COL3 output image signals of ROW2 are output at the 1 st pixel clk; the COL0 output image signal of ROW3 is output at the 1 st pixel clk; wherein the COL1 output image signal of ROW3 is output at the 2 nd pixel clk; wherein the COL2 output image signal of ROW3 is output at the 3 rd pixel clk; wherein the COL3 output image signal of ROW3 is output at the 0 th pixel clk.
(7) And continuously transmitting the image signals of which the sequence correction is realized by the image signals after the column exchange in the previous step to a subsequent processing unit to finish the subsequent processing and then outputting the image signals.
In this embodiment, the initial transmission path is converted to obtain the converted transmission path, the converted transmission path is a path different from the initial transmission path, and the image signal generated by each pixel is acquired based on the converted transmission path, so that the effect of eliminating the fixed pattern noise on the column can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
Fig. 5 is a schematic structural diagram of a fixed pattern noise cancellation apparatus according to an embodiment of the present invention.
The device 500 is used in a data acquisition module of an image sensor, and the data acquisition module is used for acquiring an image signal generated by imaging of each column of pixels in a pixel array structure.
Referring to fig. 5, the apparatus 500 includes: a determination module 501, a conversion module 502, and a data acquisition module 503, wherein,
the determining module 501 is configured to determine each pixel in the pixel array structure, and transmit the generated image signal to an initial transmission path of the data acquisition module, so as to obtain an initial transmission path corresponding to each pixel.
The converting module 502 is configured to convert the initial transmission path to obtain a converted transmission path, where the converted transmission path is a different path from the initial transmission path.
And a data acquisition module 503, configured to acquire an image signal generated by each pixel based on the converted transmission path.
Optionally, in some embodiments, referring to fig. 6, further comprising:
a generating module 504, configured to generate a conversion relation table between the initial transmission path and the converted transmission path corresponding to each pixel.
A saving module 505, configured to save the conversion relation table into a storage unit of the column data exchange correction module.
A transmission module 506, configured to transmit the image signals acquired for all pixels in the pixel array structure to the column data exchange rectification module.
And a column data exchange and correction module 507, configured to perform inverse conversion on the acquired image signals according to the conversion relation table.
The image signal after the reverse conversion is the same as an image signal acquired by collecting an image signal generated for each pixel based on the initial transmission path.
A partitioning module 508, configured to perform partitioning processing on the pixel array structure to obtain at least one sub-pixel array;
the converting module 502 is further configured to convert the initial transmission path corresponding to each pixel in each sub-pixel array.
Optionally, the converted transmission paths corresponding to pixels in different rows and the same column in the pixel array structure are different.
It should be noted that the foregoing explanations of the fixed pattern noise cancellation method in the embodiments of fig. 1 to fig. 4 also apply to the fixed pattern noise cancellation apparatus 500 of this embodiment, and the implementation principle is similar, and therefore, the details are not described here.
In this embodiment, the initial transmission path is converted to obtain the converted transmission path, the converted transmission path is a path different from the initial transmission path, and the image signal generated by each pixel is acquired based on the converted transmission path, so that the effect of eliminating the fixed pattern noise on the column can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
In order to implement the above embodiments, the present invention further provides an electronic device, which includes a memory and a processor, where the memory stores computer readable instructions, and the instructions, when executed by the processor, cause the processor to execute the fixed pattern noise cancellation method as described above.
To achieve the above embodiments, the present invention also proposes a non-transitory computer-readable storage medium having instructions stored thereon, which when executed by a processor of a terminal, enable the terminal to perform a fixed pattern noise cancellation method, the method comprising: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; the image signal generated by each pixel is acquired based on the converted transmission path.
The non-transitory computer-readable storage medium in this embodiment obtains a converted transmission path by converting the initial transmission path, where the converted transmission path is a different path from the initial transmission path, and acquires an image signal generated by each pixel based on the converted transmission path, so that an effect of eliminating fixed pattern noise on a column can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
To achieve the above embodiments, the present invention further provides a computer program product, wherein when instructions in the computer program product are executed by a processor, the computer program product executes a fixed pattern noise cancellation method, and the method includes: determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel; converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a different path from the initial transmission path; the image signal generated by each pixel is acquired based on the converted transmission path.
The computer program product in this embodiment obtains the converted transmission path by converting the initial transmission path, and the converted transmission path is a path different from the initial transmission path, and the image signal generated by each pixel is collected based on the converted transmission path, so that the effect of eliminating the fixed pattern noise on the column can be improved, and only a small chip area needs to be additionally increased, so that the product cost is lower.
It should be noted that the terms "first," "second," and the like in the description of the present invention are used for descriptive purposes only and are not to be construed as indicating or implying relative importance. In addition, in the description of the present invention, "a plurality" means two or more unless otherwise specified.
Any process or method descriptions in flow charts or otherwise described herein may be understood as representing modules, segments, or portions of code which include one or more executable instructions for implementing specific logical functions or steps of the process, and alternate implementations are included within the scope of the preferred embodiment of the present invention in which functions may be executed out of order from that shown or discussed, including substantially concurrently or in reverse order, depending on the functionality involved, as would be understood by those reasonably skilled in the art of the present invention.
It should be understood that portions of the present invention may be implemented in hardware, software, firmware, or a combination thereof. In the above embodiments, the various steps or methods may be implemented in software or firmware stored in memory and executed by a suitable instruction execution system. For example, if implemented in hardware, as in another embodiment, any one or combination of the following techniques, which are known in the art, may be used: a discrete logic circuit having a logic gate circuit for implementing a logic function on a data signal, an application specific integrated circuit having an appropriate combinational logic gate circuit, a Programmable Gate Array (PGA), a Field Programmable Gate Array (FPGA), or the like.
It will be understood by those skilled in the art that all or part of the steps carried by the method for implementing the above embodiments may be implemented by hardware related to instructions of a program, which may be stored in a computer readable storage medium, and when the program is executed, the program includes one or a combination of the steps of the method embodiments.
In addition, functional units in the embodiments of the present invention may be integrated into one processing module, or each unit may exist alone physically, or two or more units are integrated into one module. The integrated module can be realized in a hardware mode, and can also be realized in a software functional module mode. The integrated module, if implemented in the form of a software functional module and sold or used as a stand-alone product, may also be stored in a computer readable storage medium.
The storage medium mentioned above may be a read-only memory, a magnetic or optical disk, etc.
In the description herein, references to the description of the term "one embodiment," "some embodiments," "an example," "a specific example," or "some examples," etc., mean that a particular feature, structure, material, or characteristic described in connection with the embodiment or example is included in at least one embodiment or example of the invention. In this specification, the schematic representations of the terms used above do not necessarily refer to the same embodiment or example. Furthermore, the particular features, structures, materials, or characteristics described may be combined in any suitable manner in any one or more embodiments or examples.
Although embodiments of the present invention have been shown and described above, it is understood that the above embodiments are exemplary and should not be construed as limiting the present invention, and that variations, modifications, substitutions and alterations can be made to the above embodiments by those of ordinary skill in the art within the scope of the present invention.

Claims (11)

1. A fixed pattern noise elimination method is used in a data acquisition module of an image sensor, wherein the data acquisition module is used for acquiring an image signal generated by imaging of each column of pixels in a pixel array structure, and the method is characterized by comprising the following steps:
determining each pixel in the pixel array structure, and transmitting the generated image signal to an initial transmission path of the data acquisition module to obtain an initial transmission path corresponding to each pixel;
converting the initial transmission path to obtain a converted transmission path, wherein the converted transmission path is a path different from the initial transmission path, and when the conversion is performed, the connection line of the row pixels output to the data acquisition module is changed by using the gaps between the pixels, so that the converted transmission paths corresponding to the pixels in different rows and the same columns in the pixel array structure are different;
acquiring an image signal generated by each pixel based on the converted transmission path.
2. The fixed pattern noise cancellation method of claim 1, wherein after said switching said initial transmission path to obtain a switched transmission path, further comprising:
generating a conversion relation table between the initial transmission path corresponding to each pixel and the converted transmission path;
and storing the conversion relation table into a storage unit of the column data exchange correction module.
3. The fixed pattern noise cancellation method of claim 2, further comprising:
transmitting image signals acquired for all pixels in the pixel array structure to the column data exchange correction module;
the column data exchange correction module carries out reverse conversion on the acquired image signals according to the conversion relation table;
wherein the image signal after the inverse conversion is the same as an image signal acquired by collecting an image signal generated for each pixel based on the initial transmission path.
4. The fixed pattern noise cancellation method of any one of claims 1-3, further comprising, prior to said switching said initial transmission path:
partitioning the pixel array structure to obtain at least one sub-pixel array;
and respectively converting the initial transmission path corresponding to each pixel in each sub-pixel array.
5. A fixed pattern noise cancellation apparatus for use in an image sensor, the image sensor including a data acquisition module for acquiring image signals generated by imaging each column of pixels in a pixel array structure, comprising:
the determining module is used for determining each pixel in the pixel array structure, transmitting the generated image signal to an initial transmission path of the data acquisition module, and obtaining an initial transmission path corresponding to each pixel;
and the conversion module is used for converting the initial transmission path to obtain a converted transmission path, and enabling the data acquisition module to acquire the image signal generated by each pixel based on the converted transmission path, wherein the converted transmission path is a path different from the initial transmission path, and when the conversion is performed, the connecting line of the row pixels output to the data acquisition module is changed by utilizing the gaps among the pixels, so that the converted transmission paths corresponding to the pixels in different rows and the same column in the pixel array structure are different.
6. The fixed pattern noise cancellation apparatus of claim 5, further comprising:
a column data exchange correction module, which comprises a storage unit;
a generating module, configured to generate a conversion relation table between the initial transmission path corresponding to each pixel and the converted transmission path;
and the storage module is used for storing the conversion relation table into a storage unit of the column data exchange correction module.
7. The fixed pattern noise cancellation apparatus of claim 6, further comprising:
the transmission module is used for transmitting image signals acquired by all pixels in the pixel array structure to the column data exchange correction module;
the column data exchange correction module is used for carrying out reverse conversion on the acquired image signals according to the conversion relation table;
wherein the image signal after the inverse conversion is the same as an image signal acquired by collecting an image signal generated for each pixel based on the initial transmission path.
8. The fixed pattern noise cancellation apparatus of any one of claims 5 to 7, further comprising:
the partitioning module is used for partitioning the pixel array structure to obtain at least one sub-pixel array;
the conversion module is further configured to convert, in each sub-pixel array, an initial transmission path corresponding to each pixel.
9. An image sensor, comprising:
a fixed pattern noise cancellation device according to any one of claims 5 to 8.
10. An electronic device comprising a memory and a processor, the memory having stored therein computer-readable instructions that, when executed by the processor, cause the processor to perform the fixed pattern noise cancellation method of any one of claims 1 to 4.
11. A non-transitory computer readable storage medium having stored thereon a computer program, which when executed by a processor implements the fixed pattern noise cancellation method of any one of claims 1-4.
CN201711215127.5A 2017-11-28 2017-11-28 Fixed pattern noise elimination method and device, image sensor and electronic equipment Active CN109842769B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201711215127.5A CN109842769B (en) 2017-11-28 2017-11-28 Fixed pattern noise elimination method and device, image sensor and electronic equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201711215127.5A CN109842769B (en) 2017-11-28 2017-11-28 Fixed pattern noise elimination method and device, image sensor and electronic equipment

Publications (2)

Publication Number Publication Date
CN109842769A CN109842769A (en) 2019-06-04
CN109842769B true CN109842769B (en) 2021-07-16

Family

ID=66880970

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711215127.5A Active CN109842769B (en) 2017-11-28 2017-11-28 Fixed pattern noise elimination method and device, image sensor and electronic equipment

Country Status (1)

Country Link
CN (1) CN109842769B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110933338B (en) * 2019-10-28 2022-03-04 成都微光集电科技有限公司 Image sensor for reducing fixed column noise
CN113038042B (en) * 2021-03-22 2023-10-13 豪威科技(上海)有限公司 Dual conversion gain image sensor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101137018A (en) * 2006-08-31 2008-03-05 佳能株式会社 Imaging apparatus, method for driving the same and radiation imaging system
CN101160952A (en) * 2005-04-13 2008-04-09 美光科技公司 Method and apparatus for reduction of fixed pattern noise in a solid state imaging sensor
JP2009188049A (en) * 2008-02-04 2009-08-20 Texas Instr Japan Ltd Solid-state imaging device
WO2011158402A1 (en) * 2010-06-15 2011-12-22 パナソニック株式会社 Solid-state imaging device
CN105981370A (en) * 2014-02-07 2016-09-28 拉姆伯斯公司 Feedthrough-compensated image sensor
CN107925732A (en) * 2015-08-28 2018-04-17 松下知识产权经营株式会社 Camera device and solid camera head

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7209105B2 (en) * 2003-06-06 2007-04-24 Clairvoyante, Inc System and method for compensating for visual effects upon panels having fixed pattern noise with reduced quantization error

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101160952A (en) * 2005-04-13 2008-04-09 美光科技公司 Method and apparatus for reduction of fixed pattern noise in a solid state imaging sensor
CN101137018A (en) * 2006-08-31 2008-03-05 佳能株式会社 Imaging apparatus, method for driving the same and radiation imaging system
JP2009188049A (en) * 2008-02-04 2009-08-20 Texas Instr Japan Ltd Solid-state imaging device
WO2011158402A1 (en) * 2010-06-15 2011-12-22 パナソニック株式会社 Solid-state imaging device
CN105981370A (en) * 2014-02-07 2016-09-28 拉姆伯斯公司 Feedthrough-compensated image sensor
CN107925732A (en) * 2015-08-28 2018-04-17 松下知识产权经营株式会社 Camera device and solid camera head

Also Published As

Publication number Publication date
CN109842769A (en) 2019-06-04

Similar Documents

Publication Publication Date Title
US9491391B2 (en) Image sensor with threshold-based output encoding
US8089533B2 (en) Fixed pattern noise removal circuit, fixed pattern noise removal method, program, and image pickup apparatus
US20170302869A1 (en) Solid-state imaging device and camera
US9332202B2 (en) Solid-state imaging apparatus and imaging system
JP6300471B2 (en) Driving method of imaging apparatus and driving method of imaging system
US8248490B2 (en) Imaging sensor having reduced column fixed pattern noise
US9838621B2 (en) Method and system for implementing H-banding cancellation in an image sensor
TW201236450A (en) Analog row black level calibration for CMOS image sensor
JP2011071958A (en) Imaging device and camera system
US9743025B2 (en) Method and system of implementing an uneven timing gap between each image capture in an image sensor
US8564695B2 (en) Method and system for repeated fixed pattern noise correction
US20120281126A1 (en) Digital integration sensor
JP2015213209A (en) Solid-state image pickup device and driving method thereof
JP2017079452A (en) Solid imaging device
CN109842769B (en) Fixed pattern noise elimination method and device, image sensor and electronic equipment
US20110102650A1 (en) Solid-state imaging device and data processing device
JP2017216632A5 (en)
JP2020068501A (en) Imaging apparatus and imaging system
US20150070547A1 (en) Method and apparatus for reading image data from an image sensor
US9247168B2 (en) Imaging device including focusing pixels
JP2017216626A (en) Image pickup device and its control method and imaging apparatus and its control method
JP2007335991A (en) Signal processing circuit
EP2520080B1 (en) Method for reading out high dynamic range image frame in image sensor and device using the same
CN111681191B (en) Color image demosaicing method, system and storage medium based on FPGA
CN105721799B (en) Imaging sensor and its method and apparatus for removing interframe intrinsic noise

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210203

Address after: 518119 No.1 Yan'an Road, Kuiyong street, Dapeng New District, Shenzhen City, Guangdong Province

Applicant after: BYD Semiconductor Co.,Ltd.

Address before: 518118 BYD Road, Pingshan New District, Shenzhen, Guangdong 3009

Applicant before: BYD Co.,Ltd.

GR01 Patent grant
GR01 Patent grant