CN109257204A - 一种软件定义网络中基于深度学习的网络节能装置及方法 - Google Patents

一种软件定义网络中基于深度学习的网络节能装置及方法 Download PDF

Info

Publication number
CN109257204A
CN109257204A CN201810885041.1A CN201810885041A CN109257204A CN 109257204 A CN109257204 A CN 109257204A CN 201810885041 A CN201810885041 A CN 201810885041A CN 109257204 A CN109257204 A CN 109257204A
Authority
CN
China
Prior art keywords
data
port
flows
input
network
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810885041.1A
Other languages
English (en)
Other versions
CN109257204B (zh
Inventor
李传煌
唐豪
钱正哲
任云方
卢正勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhejiang Gongshang University
Original Assignee
Zhejiang Gongshang University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhejiang Gongshang University filed Critical Zhejiang Gongshang University
Priority to CN201810885041.1A priority Critical patent/CN109257204B/zh
Publication of CN109257204A publication Critical patent/CN109257204A/zh
Application granted granted Critical
Publication of CN109257204B publication Critical patent/CN109257204B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L41/00Arrangements for maintenance, administration or management of data switching networks, e.g. of packet switching networks
    • H04L41/14Network analysis or design
    • H04L41/147Network analysis or design for predicting network behaviour
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/02Details
    • H04L12/12Arrangements for remote connection or disconnection of substations or of equipment thereof
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L41/00Arrangements for maintenance, administration or management of data switching networks, e.g. of packet switching networks
    • H04L41/14Network analysis or design
    • H04L41/145Network analysis or design involving simulating, designing, planning or modelling of a network
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • H04L47/24Traffic characterised by specific attributes, e.g. priority or QoS
    • H04L47/2425Traffic characterised by specific attributes, e.g. priority or QoS for supporting services specification, e.g. SLA
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • H04L47/29Flow control; Congestion control using a combination of thresholds
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • H04L49/256Routing or path finding in ATM switching fabrics
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

本发明公开了一种软件定义网络中基于深度学习的网络节能装置及方法,该装置包含:端口流量数据收集模块,周期性地收集流量数据;流量数据格式转换模块,对流量数据进行数据格式转换处理;数据归一化处理模块,将格式转换后的流量数据进行归一化处理;特征提取模块,从归一化处理后的流量数据中,提取部分特征并手动构建部分特征,再对流量特征进行维度重构获得新的流量特征;流量数据预测模块,对流量特征进行预测得到预测值;节能策略生成模块,根据得到的预测值与设定的阈值进行比较分析,生成节能策略,然后发送相应的指令到OpenFlow交换机切换端口速率、关闭冗余端口,更新流表,重新规划路由,减少能耗以实现网络节能。

Description

一种软件定义网络中基于深度学习的网络节能装置及方法
技术领域
本发明涉及网络通信技术领域和深度学习领域,具体涉及一种软件定义网络中基于深度学习的网络节能装置及方法。
背景技术
网络能耗是运营商的重要OPEX支出之一,提高能源效率亦是未来网络运营商的关键要求。通过网络流量数据,资源利用率数据统计关联分析,网络忙闲状态与人民的工作和休息节奏具有高度的相关性。尤其是学校、商业区、大型居民区等热点区域具有明显的潮汐效应。目前,网络容量是按照网络业务的峰值要求建设的,包括无线基站以及集中部署了未来NFV网络基础设施的数据中心。基于AI的网络智能节能技术充分考虑网络覆盖、用户分布和业务特征,辅以负荷预测,适时选择网络设备休眠和唤醒时机,提供可靠、无缝的用户体验,使得区域网络资源利用率更高、用户体验更好,有效降低区域内网络能耗。
目前,国内外针对网络数据中心节能问题,主要通过让空闲网络设备进入睡眠状态来达到节能的目的。这一想法广泛应用于网络节能问题,如能量感知路由,通过找出满足网络需求的数据中心交换机最小数量从而减小冗余,但该方法对延迟并不敏感,又如弹性树,以及网络设备电源管理程序等。
深度学习的概念源于人工神经网络的研究。深度学习通过组合低层特征形成更加抽象的高层表示属性类别或特征,以发现数据的分布式特征表示。深度学习是机器学习中一种基于对数据进行表征学习的方法,其概念由Hinton等人于2006年提出。深度学习是机器学习研究中的一个新的领域,其动机在于建立、模拟人脑进行分析学习的神经网络,它模仿人脑的机制来解释数据。
软件定义网络(SDN)是新一代的网络技术,是一种新的设计和管理网络的方法。与传统路由网络相比,SDN实现了可编程和动态的网络。尽管它具有更加灵活的网络管理,但为保证网络服务质量,跟传统网络一样存在冗余的网络设备。因此,我们应该在提高网络服务质量的同时提高网络的资源利用率并且降低网络的能量消耗。从提高网络节点设备的利用率和网络链路利用率的角度分析并满足网络带宽需求是SDN网络中提高网络效率的一个重要研究内容。
发明内容
本发明的目的是克服现有技术的不足,提供一种软件定义网络中基于深度学习的网络节能方法,该方法利用时间递归神经网络抽象化数据、自动学习长期依赖关系的特点,相较于传统的节能方案,在保障网络服务质量的前提下能更准确地关闭冗余网络设备,提高了网络节能效率。
本发明解决其技术问题所采用的技术方案如下:一种软件定义网络中基于深度学习的网络节能装置,包括:端口流量数据收集模块、流量数据格式转换模块、数据归一化处理模块、特征提取模块、流量数据预测模块、节能策略生成模块。
端口流量数据收集模块:周期性地收集所有OpenFlow交换机的流量数据
流量数据格式转换模块:将端口流量数据收集模块获得的流量数据进行数据格式转换处理。使用文件结构、存储方式简单的CSV文件存储方式,减少存储信息的容量和方便读取数据。
数据归一化处理模块:将经过流量数据格式转换模块格式转换后的数据信息进行归一化处理,使得序列的特征体现更加明显,以满足分析模型的要求,提高计算精度。
特征提取模块:从数据归一化处理模块处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和构建的输入特征进行维度重构,组成新的流量特征{P,Q};
流量数据预测模块:将特征提取模块得到的流量特征作为为LSTM模型的输入,得到预测的流量值。
节能策略生成模块:根据流量数据预测模块得到的预测值与设定的阈值进行比较分析生成节能策略,然后发送相应的指令到OpenFlow交换机切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现节能。
进一步地,所述数据归一化处理模块中的归一化处理采用z-score标准化方法,以减少数据运算量,提高预测精度。具体采用一下公式:
其中Xi为某个时间段内流量大小,N为统计的流量段个数,μ为所有数据平均值。
进一步地,特征提取模块中的输入特征{P}包括:同一天前L小时每小时内的端口流量输入字节数、同一天前L小时每小时内的端口流量输出字节数、前M天同一小时内的端口流量输入字节数、前M天同一小时内的端口流量输出字节数;输入特征{Q}包括:同一天前L小时每小时内的端口流量平均输出速率Out_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、同一天前L小时每小时内的端口流量平均输入速率In_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、前M天同一小时内的端口流量平均输出速率Out_ropi(i=M,M为任意大于0的正整数)、前M天同一小时内的端口流量平均输入速率In_ropi(i=M,M为任意大于0的正整数);
端口流量平均输出速率:
其中NumOut_port_k为采集的所有端口中,第i小时在采样周期T内从第k个端口输出的总字节数;
端口流量平均输入速率:
其中NumIn_port_k为采集的所有端口中,第i小时在采样周期T内输入第k个端口的总字节数。
进一步地,所述流量数据预测模块中,在预测流量数据时,通过已训练的循环神经网络LSTM模型,采用滑动窗口方式,使用同一天前L小时每小时内与前M天同一小时的流量数据预测下一个小时的流量数据;已训练的循环神经网络LSTM模型含有2个LSTM层、1个Dense层。每层LSTM中各神经元均具有一个cell和输入、输出、遗忘三个门。一个门结构包含一个sigmoid层和一个乘法操作,sigmoid层的输出取值范围为[0,1],代表有多少量通过。输入的流量特征向量,经过第一层LSTM层之后,遗忘门从cell中抛弃一定量的数据信息,通过输入门和tanh层更新数值,再由输出门决定输出部分细胞状态并且由tanh层将状态值映射到[-1,1]之间,将其和sigmiod层的输出值相乘后输入第二层LSTM。再经过第二层LSTM层后输出能够精确表征流量数据的最终值,将最终值输入到Dense层。
进一步地,所述节能策略生成模块包括:路由规划模块、动作策略生成模块;
路由规划模块:OpenFlow交换机状态改变后,路由规划模块重新规划网络路由。
动作策略生成模块:对预测值进行大小分析,并和设定的阈值进行比较,生成动作策略下发到OpenFlow交换器以切换端口速率、关闭冗余端口,更新流表,实现网络节能。
一种软件定义网络中基于深度学习的网络节能方法,包括以下步骤:
步骤1:周期性地收集所有OpenFlow交换机的流量数据
步骤2:将步骤1获得的流量数据进行数据格式转换处理。使用文件结构、存储方式简单的CSV文件存储方式,减少存储信息的容量和方便读取数据。
步骤3:将经过格式转换后的流量数据进行归一化处理,使得序列的特征体现更加明显,以满足分析模型的要求,提高计算精度。
步骤4:从数据归一化处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和人工构建的输入特征进行维度重构,组成新的流量特征{P,Q};
步骤5:将步骤4得到的流量特征作为为LSTM模型的输入,得到预测的流量值;
步骤6:根据步骤5得到的预测值与设定的阈值进行比较分析,然后发送相应的指令到OpenFlow交换机,切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现网络节能。
本发明的有益效果是:本发明基于深度学习实现网络节能,首先通过LSTM循环神经网络模型对网络流量预测,然后通过SDN控制器关闭冗余端口或网络设备,这种基于深度学习的节能方法,提高了网络资源利用率,减少了网络设备冗余,实现了网络节能;本发明构建的LSTM模型输入特征,提高了流量预测精度;本发明的可扩展的模块化设计,各模块独立的接口设计,降低了模块简单的耦合关联性;可移植性强,兼容大多数主流控制器,如Ryu、OpenDaylight等
附图说明
图1本发明的网络节能装置图;
图2本发明的LSTM模型结构图;
图3本发明的节能策略流程图。
具体实施方式
下面结合附图和具体实施例对本发明作进一步详细说明。
本发明提供的一种软件定义网络中基于深度学习的网络节能装置,包括:端口流量数据收集模块、流量数据格式转换模块、数据归一化处理模块、特征提取模块、流量数据预测模块、节能策略生成模块。
端口流量数据收集模块:周期性地收集所有OpenFlow交换机的流量数据。
流量数据格式转换模块:将端口流量数据收集模块获得的流量数据进行数据格式转换处理。使用文件结构、存储方式简单的CSV文件存储方式,减少存储信息的容量和方便读取数据。
数据归一化处理模块:将经过流量数据格式转换模块格式转换后的数据信息进行归一化处理,使得序列的特征体现更加明显,以满足分析模型的要求,改善计算精度。
特征提取模块:从数据归一化处理模块处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和人工构建的输入特征进行维度重构,组成新的流量特征{P,Q};
流量数据预测模块:将特征提取模块得到的流量特征作为为LSTM模型的输入,得到预测的流量值;
节能策略生成模块:根据流量数据预测模块得到的预测值与设定的阈值进行比较分析生成节能策略,然后通过控制器发送相应的指令到OpenFlow交换机切换端口速率、关闭冗余端口,更新流表,实现节能。
进一步地,所述数据归一化处理模块中的归一化处理采用z-score标准化方法,以减少数据运算量,提高预测精度。具体采用一下公式:
其中Xi为某个时间段内流量大小,N为统计的流量段个数,μ为所有数据平均值
进一步地,特征提取模块中的输入特征{P}包括:同一天前L小时每小时内的端口流量输入字节数、同一天前L小时每小时内的端口流量输出字节数、前M天同一小时内的端口流量输入字节数、前M天同一小时内的端口流量输出字节数;输入特征{Q}包括:同一天前L小时每小时内的端口流量平均输出速率Out_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、同一天前L小时每小时内的端口流量平均输入速率In_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、前M天同一小时内的端口流量平均输出速率Out_ropi(i=M,M为任意大于0的正整数)、前M天同一小时内的端口流量平均输入速率In_ropi(i=M,M为任意大于0的正整数);
端口流量平均输出速率:
其中NumOut_port_k为采集的所有端口中,第i小时在采样周期T内从第k个端口输出的总字节数;
端口流量平均输入速率:
其中NumIn_port_k为采集的所有端口中,第i小时在采样周期T内输入第k个端口的总字节数。
进一步地,所述流量数据预测模块中,在预测流量数据时,通过已训练的循环神经网络LSTM模型,采用滑动窗口方式,使用同一天前五个小时每小时内与前M天同一小时的流量数据预测下一个小时的流量数据。已训练的循环神经网络LSTM模型含有2个LSTM层、1个Dense层。如图2,每层LSTM中各神经元均具有一个cell和输入、输出、遗忘三个门。一个门结构包含一个sigmoid层和一个乘法操作,sigmoid层的输出取值范围为[0,1],代表有多少量通过。输入的流量特征,经过第一层LSTM层之后,遗忘门从cell中抛弃一定量的数据信息,通过输入门和tanh层更新数值,再由输出门决定输出部分细胞状态并且由tanh层将状态值映射到[-1,1]之间,将其和sigmiod层的输出值相乘后输入第二层LSTM。再经过第二层LSTM层后输出能够精确表征流量数据的最终值,将最终值输入到Dense层。
进一步地,所述节能策略生成模块包括:路由规划模块、动作策略生成模块;
路由规划模块:端口关闭后,路由规划模块对网络路由重新规划。
动作策略生成模块:对预测值进行大小分析,并和设定的阈值进行比较,生成动作策略下发指令到OpenFlow交换器以切换端口速率、关闭冗余端口,更新流表,实现网络节能。
如图3,本发明提供的一种软件定义网络中基于深度学习的网络节能方法,包括以下步骤:
步骤1:周期性地收集所有OpenFlow交换机的流量数据
步骤2:将步骤1获得的流量数据进行数据格式转换处理。使用文件结构、存储方式简单的CSV文件存储方式,减少存储信息的容量和方便读取数据。
步骤3:将经过格式转换后的流量数据信息进行归一化处理,使得序列的特征体现更加明显,以满足分析模型的要求,提高计算精度。
步骤4:从数据归一化处理模块处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和人工构建的输入特征进行维度重构,组成新的流量特征{P,Q};
步骤5:将步骤4得到的流量特征作为LSTM模型的输入,得到预测的流量值;
步骤6:根据步骤5得到的预测值与设定的阈值进行比较分析,然后发送相应的指令到OpenFlow交换机,切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现网络节能。
实施例:
本发明所述的软件定义网络中基于深度学习的网络节能装置如图1,系统包括控制层和数据层。本发明网络节能装置部署在SDN控制层,其中包括端口流量数据收集模块、流量数据格式转换模块、数据归一化处理模块、特征提取模块、流量数据预测模块、节能策略生成模块、路由规划模块。以下对本发明实施例中的各个模块进行详细的说明。
端口流量数据收集模块:周期性地收集所有OpenFlow交换机的流量数据;包含以下函数接口:
switch_traffic_collect(datapath,port)
函数内部实现以下方法,其中stats_request()方法用于控制器向数据层交换机下发连接请求,获得连接信息;OFPFlow_stats_request()方法用于获得交换机的流量统计信息;Minitor_controller()方法用于周期性执行OFPFlow_stats_request()方法,获得openflow交换机的流量信息。
流量数据格式转换模块:将收集到的流量统计信息进行格式转换处理;包含以下函数接口:
Traffic_data_frame(datapath,port,convertInfo)
函数内部实现以下方法,其中data_process()方法用于将端口流量数据收集模块获得流量数据保存在CSV文件中。
数据归一化处理模块:将经过流量数据格式转换模块格式转换后的流量数据进行归一化处理;包含以下函数接口:
Data_normalize(datapath,port,normalInfo)
函数内部实现以下方法,其中standard_scaler()方法对流量数据格式转换模块处理后的数据进行数据标准化,series_to_surpervise()方法将数据转换为监督学习型数据以方便数据预测。
特征提取模块:从归一化处理后的数据中,提取或构建适合预测的流量特征,并重构维度;包含以下函数接口:
traffic_feature_extract(datapath,port,feature)
函数内部实现以下方法,其中nature_feature()方法用于直接获取归一化和转换数据类型后的部分流量特征作为预测特征;artifical_feature()方法用于人工构造部分流量特征;combine_feature()方法用于将以上两方法中的特征进行维度重构。
流量数据预测模块:将特征提取模块获得的流量特征作为循环神经网络LSTM模型的输入,预测出未来流量的数值;
节能策略生成模块:根据流量数据预测模块得到的预测值与阈值进行比较分析生成节能策略,然后发送相应的指令到OpenFlow交换机切换端口速率、关闭冗余端口,更新流表以实现节能;包含以下函数接口:result_process(datapath,port,result)
函数内部实现以下方法,其中result_analysis()方法对预测得到的结果和设定的阈值进行比较。
port_process(datapath,port)
函数内部实现以下方法,其中del_port()方法用于删除交换机端口;add_port()方法用于增加交换机端口。
Flow_process(datapath,port)
函数内部实现以下方法,其中del_flow()方法用于删除旧的流表;add_flow()方法用于生成新的流表。
路由规划模块:改变交换机状态后,路由规划模块对网络路由重新规划。

Claims (10)

1.一种软件定义网络中基于深度学习的网络节能装置,其特征在于,包括:
端口流量数据收集模块,用于周期性地收集所有OpenFlow交换机的流量数据;
流量数据格式转换模块,用于将端口流量数据收集模块获得的流量数据进行数据格式转换处理;
数据归一化处理模块,用于将经过流量数据格式转换模块格式转换后的流量数据进行归一化处理;
特征提取模块,用于从数据归一化处理模块处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和构建的输入特征进行维度重构,组成新的流量特征{P,Q};
流量数据预测模块,用于将特征提取模块得到的流量特征作为为LSTM模型的输入,得到预测的流量值;
节能策略生成模块,用于根据流量数据预测模块得到的预测值与设定的阈值进行比较分析生成节能策略,然后发送相应的指令到OpenFlow交换机,完成切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现节能。
2.根据权利要求1所述的软件定义网络中基于深度学习的网络节能装置,其特征在于,所述数据归一化处理模块中的归一化处理采用z-score标准化方法,具体采用一下公式:
其中Xi为第i个时间段内流量大小,N为统计的流量段个数,μ为所有数据平均值。
3.根据权利要求2所述的软件定义网络中基于深度学习的网络节能装置,其特征在于,所述特征提取模块中的输入特征{P}包括:同一天前L小时每小时内的端口流量输入字节数、同一天前L小时每小时内的端口流量输出字节数、前M天同一小时内的端口流量输入字节数、前M天同一小时内的端口流量输出字节数;输入特征{Q}包括:同一天前L小时每小时内的端口流量平均输出速率Out_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、同一天前L小时每小时内的端口流量平均输入速率In_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、前M天同一小时内的端口流量平均输出速率Out_ropi(i=M,M为任意大于0的正整数)、前M天同一小时内的端口流量平均输入速率In_ropi(i=M,M为任意大于0的正整数);
端口流量平均输出速率:
其中NumOut_port_k为采集的所有端口中,第i小时在采样周期T内从第k个端口输出的总字节数;
端口流量平均输入速率:
其中NumIn_port_k为采集的所有端口中,第i小时在采样周期T内输入第k个端口的总字节数。
4.根据权利要求3所述的软件定义网络中基于深度学习的网络节能装置,其特征在于,所述流量数据预测模块中,在预测流量数据时,通过已训练的循环神经网络LSTM模型,采用滑动窗口方式,使用同一天前L小时每小时内与前M天同一小时的流量数据预测下一个小时的流量数据;已训练的循环神经网络LSTM模型含有2个LSTM层、1个Dense层,每层LSTM中各神经元均具有一个cell和输入、输出、遗忘三个门;一个门结构包含一个sigmoid层和一个乘法操作,sigmoid层的输出取值范围为[0,1],代表有多少量通过;输入的流量特征,经过第一层LSTM层之后,遗忘门从cell中抛弃一定量的流量数据信息,通过输入门和tanh层更新数值,再由输出门决定输出部分细胞状态并且由tanh层将状态值映射到[-1,1]之间,将其和sigmiod层的输出值相乘后输入第二层LSTM;再经过第二层LSTM层后输出能够表征流量数据的最终值,将最终值输入到Dense层。
5.根据权利要求4所述的软件定义网络中基于深度学习的网络节能装置,其特征在于,所述节能策略生成模块包括:
路由规划模块,用于当OpenFlow交换机状态改变后,重新规划网络路由;
动作策略生成模块,用于对预测值进行大小分析,并和设定的阈值进行比较,生成动作策略下发到OpenFlow交换机以切换端口速率、关闭冗余端口,更新流表,实现网络节能。
6.一种软件定义网络中基于深度学习的网络节能方法,其特征在于,包括以下步骤:
步骤1:周期性地收集所有OpenFlow交换机的流量数据;
步骤2:将获得的流量数据进行数据格式转换处理;
步骤3:对格式转换后的流量数据进行归一化处理;
步骤4:从归一化处理后的流量数据中提取流量特征,将部分流量数据直接用作LSTM的部分输入特征{P},并且依据流量数据构建部分输入特征{Q},并将直接获取的输入特征和人工构建的输入特征进行维度重构,组成新的流量特征{P,Q};
步骤5:将步骤4得到的流量特征作为LSTM模型的输入,得到预测的流量值;
步骤6:根据步骤5得到的预测值与设定的阈值进行比较分析生成节能策略,然后发送相应的指令到OpenFlow交换机,切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现网络节能。
7.根据权利要求6所述的方法,其特征在于,所述归一化处理采用z-score标准化方法,具体采用以下公式:
其中Xi为第i个时间段内流量大小,N为统计的流量段个数,μ为所有数据平均值。
8.根据权利要求7所述的方法,其特征在于,所述步骤4中的输入特征{P}包括:同一天前L小时每小时内的端口流量输入字节数、同一天前L小时每小时内的端口流量输出字节数、前M天同一小时内的端口流量输入字节数、前M天同一小时内的端口流量输出字节数;输入特征{Q}包括:同一天前L小时每小时内的端口流量平均输出速率Out_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、同一天前L小时每小时内的端口流量平均输入速率In_ropi(i=t-1,t-2,…,t-L,t表示预测时间,L是取值范围为[5,23]的正整数)、前M天同一小时内的端口流量平均输出速率Out_ropi(i=M,M为任意大于0的正整数)、前M天同一小时内的端口流量平均输入速率In_ropi(i=M,M为任意大于0的正整数);
端口流量平均输出速率:
其中NumOut_port_k为采集的所有端口中,第i小时在采样周期T内从第k个端口输出的总字节数;
端口流量平均输入速率:
其中NumIn_port_k为采集的所有端口中,第i小时在采样周期T内输入第k个端口的总字节数。
9.根据权利要求8所述的方法,其特征在于,所述LSTM模型含有2个LSTM层、1个Dense层,每层LSTM中各神经元均具有一个cell和输入、输出、遗忘三个门;一个门结构包含一个sigmoid层和一个乘法操作,sigmoid层的输出取值范围为[0,1],代表有多少量通过;输入的流量特征,经过第一层LSTM层之后,遗忘门从cell中抛弃一定量的流量数据信息,通过输入门和tanh层更新数值,再由输出门决定输出部分细胞状态并且由tanh层将状态值映射到[-1,1]之间,将其和sigmiod层的输出值相乘后输入第二层LSTM;再经过第二层LSTM层后输出能够表征流量数据的最终值,将最终值输入到Dense层。
10.根据权利要求9所述的方法,其特征在于,所述步骤6包括如下子步骤:
当OpenFlow交换机状态改变后,重新规划网络路由;
对预测值进行大小分析,并和设定的阈值进行比较,生成动作策略下发到OpenFlow交换器以切换端口速率、关闭冗余端口,更新流表,重新规划路由,实现网络节能。
CN201810885041.1A 2018-08-06 2018-08-06 一种软件定义网络中基于深度学习的网络节能装置及方法 Active CN109257204B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810885041.1A CN109257204B (zh) 2018-08-06 2018-08-06 一种软件定义网络中基于深度学习的网络节能装置及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810885041.1A CN109257204B (zh) 2018-08-06 2018-08-06 一种软件定义网络中基于深度学习的网络节能装置及方法

Publications (2)

Publication Number Publication Date
CN109257204A true CN109257204A (zh) 2019-01-22
CN109257204B CN109257204B (zh) 2021-06-04

Family

ID=65048751

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810885041.1A Active CN109257204B (zh) 2018-08-06 2018-08-06 一种软件定义网络中基于深度学习的网络节能装置及方法

Country Status (1)

Country Link
CN (1) CN109257204B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111049747A (zh) * 2019-12-18 2020-04-21 北京计算机技术及应用研究所 一种面向大规模容器集群的智能虚拟网络路径规划方法
CN111106999A (zh) * 2019-12-27 2020-05-05 国网江苏省电力公司信息通信分公司 一种ip-光网络通信业务联合分配方法及装置
CN111882045A (zh) * 2020-08-12 2020-11-03 北京师范大学 基于可微神经结构搜索的大脑时-空网络分解方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106570597A (zh) * 2016-11-14 2017-04-19 广州大学 一种sdn架构下基于深度学习的内容流行度预测方法
CN106685689A (zh) * 2016-10-26 2017-05-17 浙江工商大学 一种基于深度学习的sdn流表冲突检测装置及方法
CN106953747A (zh) * 2017-03-01 2017-07-14 浙江工商大学 一种基于深度学习的sdn网络自愈方法
WO2017177694A1 (zh) * 2016-04-11 2017-10-19 浪潮集团有限公司 一种提高sdn交换机性能及安全的方法
CN108123931A (zh) * 2017-11-29 2018-06-05 浙江工商大学 一种软件定义网络中的DDoS攻击防御装置及方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017177694A1 (zh) * 2016-04-11 2017-10-19 浪潮集团有限公司 一种提高sdn交换机性能及安全的方法
CN106685689A (zh) * 2016-10-26 2017-05-17 浙江工商大学 一种基于深度学习的sdn流表冲突检测装置及方法
CN106570597A (zh) * 2016-11-14 2017-04-19 广州大学 一种sdn架构下基于深度学习的内容流行度预测方法
CN106953747A (zh) * 2017-03-01 2017-07-14 浙江工商大学 一种基于深度学习的sdn网络自愈方法
CN108123931A (zh) * 2017-11-29 2018-06-05 浙江工商大学 一种软件定义网络中的DDoS攻击防御装置及方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
李传煌等: "《SDN下基于深度学习混合模型的DDoS攻击检测与防御》", 《通信学报》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111049747A (zh) * 2019-12-18 2020-04-21 北京计算机技术及应用研究所 一种面向大规模容器集群的智能虚拟网络路径规划方法
CN111049747B (zh) * 2019-12-18 2022-01-04 北京计算机技术及应用研究所 一种面向大规模容器集群的智能虚拟网络路径规划方法
CN111106999A (zh) * 2019-12-27 2020-05-05 国网江苏省电力公司信息通信分公司 一种ip-光网络通信业务联合分配方法及装置
CN111882045A (zh) * 2020-08-12 2020-11-03 北京师范大学 基于可微神经结构搜索的大脑时-空网络分解方法及系统
CN111882045B (zh) * 2020-08-12 2023-10-17 北京师范大学 基于可微神经结构搜索的大脑时-空网络分解方法及系统

Also Published As

Publication number Publication date
CN109257204B (zh) 2021-06-04

Similar Documents

Publication Publication Date Title
CN109257204A (zh) 一种软件定义网络中基于深度学习的网络节能装置及方法
CN105005234B (zh) 基于zigbee的智能温室环境遥测与控制系统
CN103018418A (zh) 景观水体水质在线预警系统
CN102629106A (zh) 供水控制方法及系统
CN110267292A (zh) 基于三维卷积神经网络的蜂窝网络流量预测方法
CN103792330A (zh) 无线传感水质监测预警系统
CN109952948A (zh) 一种基于物联网的远程可视化智能灌溉系统
CN103701931A (zh) 一种基于云平台的远程环境数据管理监控系统
CN106780248A (zh) 基于大数据技术的城市物联网设备运营控制系统及方法
CN107727080A (zh) 一种水文遥控终端机
CN111598724A (zh) 一种中小水库入库流量日前预测的分时段集成方法
CN104778528A (zh) 利用大数据获取智慧城市评估指标的方法及系统
CN107944617A (zh) 一种基于逻辑回归的疑似窃电主题影响因素权重优化方法
Wenshun et al. Design and implementation of sunlight greenhouse service platform based on IOT and cloud computing
CN112308270A (zh) 一种长期用电负荷预测方法、装置及计算机实现系统
CN109299160A (zh) 一种基于监控大数据挖掘的电力cps安全性分析方法
Hu et al. Edge intelligence for real-time data analytics in an IoT-based smart metering system
Tian et al. Spatio-temporal position prediction model for mobile users based on LSTM
Wang et al. A hybrid deep learning method based on CEEMDAN and attention mechanism for network traffic prediction
CN103916266B (zh) 一种主动休眠节点和链路的高效节能虚拟网络映射算法
Xuanrong et al. Research and design of precision irrigation system based on artificial neural network
CN108880909A (zh) 一种基于强化学习的网络节能方法及装置
CN102156408B (zh) 一种动态自适应演化最大功率点跟踪控制系统及方法
Gao et al. Short-term traffic flow prediction based on time-Space characteristics
Zhu et al. Prediction Model for Piggery Ammonia Concentration Based on Genetic Algorithm and Optimized BP Neural Network.

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant