CN108475234A - 在多处理器系统中构建相干存储器的系统及方法 - Google Patents

在多处理器系统中构建相干存储器的系统及方法 Download PDF

Info

Publication number
CN108475234A
CN108475234A CN201680077811.9A CN201680077811A CN108475234A CN 108475234 A CN108475234 A CN 108475234A CN 201680077811 A CN201680077811 A CN 201680077811A CN 108475234 A CN108475234 A CN 108475234A
Authority
CN
China
Prior art keywords
node
data cell
speed buffering
data
global
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680077811.9A
Other languages
English (en)
Other versions
CN108475234B (zh
Inventor
艾瑞克·哈格斯顿
安德莉亚斯·森布兰特
大卫·布雷克-沙佛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108475234A publication Critical patent/CN108475234A/zh
Application granted granted Critical
Publication of CN108475234B publication Critical patent/CN108475234B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0811Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • G06F12/082Associative directories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • G06F12/0822Copy directories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • G06F12/0824Distributed directories, e.g. linked lists of caches
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0831Cache consistency protocols using a bus scheme, e.g. with bus monitoring or watching means
    • G06F12/0833Cache consistency protocols using a bus scheme, e.g. with bus monitoring or watching means in combination with broadcast means (e.g. for invalidation or updating)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/084Multiuser, multiprocessor or multiprocessing cache systems with a shared cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0864Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using pseudo-associative means, e.g. set-associative or hashing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • G06F12/1416Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights
    • G06F12/1425Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights the protection being physical, e.g. cell, word, block
    • G06F12/1441Protection against unauthorised use of memory or access to memory by checking the object accessibility, e.g. type of access defined by the memory independently of subject rights the protection being physical, e.g. cell, word, block for a range
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0813Multiuser, multiprocessor or multiprocessing cache systems with a network or matrix configuration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • G06F12/1045Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] associated with a data cache
    • G06F12/1063Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] associated with a data cache the data cache being concurrently virtually addressed
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1028Power efficiency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1048Scalability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Abstract

数据单元存储在多处理器系统的节点中的专用高速缓冲中,每一个节点包含至少一个处理器(CPU)、节点专用的至少一个高速缓冲及节点专用的至少一个高速缓冲位置缓冲器{CLB}。在每一个CLB中存储有位置信息值,每一位置信息值指示与相应的数据单元相关联的位置,其中存储在给定的CLB中的每一位置信息值指示位置是设置在与给定的CLB处于同一节点中的专用高速缓冲内的位置、是其他节点中的一个节点中的位置或者是主存储器中的位置。数据单元的值的相干性是使用高速缓冲相干性协议得到维持。高速缓冲相干性协议根据位置信息值各自的数据单元的移动来更新存储在CLB中的位置信息值。

Description

在多处理器系统中构建相干存储器的系统及方法
[相关申请]
本申请与以下美国临时专利申请相关且主张以下美国临时专利申请的优先权:艾瑞克·哈哥斯坦等人在2015年11月4日提出申请且名称为“高速缓冲存储器存储系统的节能技术”第62/250,653号的美国临时专利申请;以及艾瑞克·哈哥斯坦等人在2015年11月23日提出申请且名称为“直接对主机:统一跟踪方法、逐出及保持数据相干性”的美国临时专利申请第62/258,692号,以上两个美国临时专利申请的公开内容并入本申请供参考。
技术领域
本文所公开主题的实施例大体来说涉及在计算机存储装置中存取数据的方法及系统,且更具体来说,涉及构建相干高速缓冲层次结构的机制及技术。
背景技术
如今的处理器常常配备有高速缓冲,高速缓冲可存储在一些大容量存储器中存储的数据及指令的副本。这种大容量存储器如今最流行的实例是动态随机存取存储器(DRAM)。在本文中,将使用用语“存储器”来笼统地指代所有现有的及未来的存储器实施方式。高速缓冲存储器(或简称为高速缓冲)”通常是由比其他存储器实施方式小得多且快得多的存储器构建的且随后仅可在任何给定时间保存在主存储器或辅助存储装置中存储的数据中的一部分数据的副本。如今,高速缓冲常常使用静态随机存取存储器来实施且大的高速缓冲可使用动态随机存取存储器来实施。本文所述高速缓冲可使用任何现有的及未来的存储技术来实施。
常常,计算机系统的存储系统包括一系列高速缓冲,其中靠近主存储器的高速缓冲(此处称为较高级的高速缓冲)更大且更慢且靠近处理器的高速缓冲(此处称为较低级的高速缓冲)更小且更快。这种配置通常被称为高速缓冲层次结构、存储器层次结构或存储系统。高速缓冲层次结构中的每一级被称为高速缓冲级。图1绘示其中每一个CPU 101均被指派有各自的第一级专用高速缓冲102(L1高速缓冲)的计算机系统。专用高速缓冲是仅可对高速缓冲本地的CPU(或者与高速缓冲处于同一节点中的CPU,其中,所述高速缓冲专用于这一节点)的活动作出响应的数据单元的空间的高速缓冲。在此实例中,第二级高速缓冲103是由所有中央处理器101共享且可包含由所有CPU 101存取的数据及指令。高速缓冲103是全局高速缓冲,这是由于数据单元的空间可响应于任何CPU 101的活动。另外,高速缓冲103是共享高速缓冲,这是由于每一个数据单元可仅存在于一个共享副本中(而每一个专用高速缓冲均可具有自己的复制副本)。存储器105也存储由所有CPU 101存取的指令及数据。(主)存储器105通常是使用物理地址(或简称为PA)来存取的,而CPU 101产生的地址通常是虚拟地址。具有多个CPU及多个专用高速缓冲的计算机系统(例如图1所示系统)需要高效的机制来寻找高速缓冲中的一个高速缓冲中的所请求数据单元以及使数据的存储在不同节点中的多个副本保持相干。
除包括主存储器及高速缓冲存储器之外,这种计算机系统通常还将包括一个或多个辅助存储装置。这些辅助存储装置可包括硬盘驱动器、光盘驱动器、闪存驱动器等中的一者或多者,硬盘驱动器、光盘驱动器、闪存驱动器等在此处由盘104来笼统地表示。盘或辅助存储装置104可存储比存储器105的数据多出多个数量级,但不能使用物理地址直接存取。如果CPU 101想要对存储在盘104上的数据进行存取,则虚拟存储系统(图1未示出)将数据块(通常被称为“页”)从盘104移动到存储器105,并进行从与所述页对应的虚拟地址到物理地址的变换。一种特殊类型的变换高速缓冲(图1未示出)(通常被称为“变换旁查缓冲器”或简称为TLB)对从虚拟页到物理页的变换映射进行高速缓冲。虚拟存储系统可被视为高速缓冲系统,所述高速缓冲系统将位于盘104中的数据的一部分存储在存储器105中。
图2示出图1中的高速缓冲组织的更详细的视图,其中中央处理器204被示出为包含多个高速缓冲式结构,例如指令TLB(ITLB,201)及数据TLB(DTLDB,205)以及CPU核心202。1级高速缓冲102被示出为包括指令高速缓冲200及数据高速缓冲206,指令高速缓冲200及数据高速缓冲206夹置在CPU 204与2级(及潜在较高级的)高速缓冲207之间。图2还示出连接到高速缓冲层次结构的大容量存储器208。
当CPU 204请求高速缓冲中存在的数据(称为“高速缓冲命中”)时,所述请求可比对高速缓冲中不存在的数据进行存取(称为“高速缓冲未命中”)快得多地得到服务。通常,假设两种版本的应用原本具有相似的性质,则在CPU 204上运行的经历较少高速缓冲未命中的应用与遭受较多高速缓冲未命中的相同应用相比将执行得更快并消耗较少的能量。因此,已对用于避免高速缓冲未命中的技术投入了相当大的努力,且还设计了可使高速缓冲命中及高速缓冲未命中就它们的存取延迟以及这些存储器事务所消耗的能量而言高效的实施方式。
为了理解如何开发针对此高速缓冲命中/高速缓冲未命中问题的解决方案,理解高速缓冲组织及术语将是有用的。通常,数据是以比处理器的字的大小大的固定块(被称为高速缓冲行)的形式配置到高速缓冲中。如今常用的高速缓冲行大小例如为32字节、64字节及128字节,但如所属领域中的技术人员将理解,对于各种高速缓冲实施方式而言也存在更大及更小的高速缓冲行大小。对于一些高速缓冲实施方式而言,高速缓冲行大小也可为可变的。
在存储器208中组织数据布局的常用方式是采用对每一个数据字进行静态映射以使每一个数据字驻留在一个特定高速缓冲行中的方式。每一个高速缓冲通常具有索引功能,所述索引功能对高速缓冲的可供每一个高速缓冲行驻留的部分(被称为组)进行标识。所述组可包含用于同时保存一个或多个高速缓冲行的空间。组可保存的高速缓冲行的数目被称为组的关联性。常常说,高速缓冲中所有组的关联性是相同的。这些高速缓冲常常被称为组关联高速缓冲。关联性也可在各个组之间变化。组中的每一个可保存高速缓冲行的空间被称为路。
为确定存储在每一组中的每一个高速缓冲行的身份,高速缓冲中的高速缓冲行各自具有相关联的某一标识符。这种标识符的一个常用实例是地址标签。当在高速缓冲中查找特定高速缓冲行时,可使用高速缓冲行的地址来确定高速缓冲中可供所述高速缓冲行驻留的组。将对应组的高速缓冲行的地址标签与用于标识高速缓冲行的地址的标签部分(参见,例如图3所绘示的且在以下阐述的TAG及ATAG)进行比较来判断所需要的高速缓冲行是否驻留在所述高速缓冲中,且如果是,则判断所需要的高速缓冲行驻留在哪一路中,即所需要的高速缓冲行驻留在可保存高速缓冲行的组中的空间中的哪一个空间中。
常常,每一个高速缓冲具有内置方略来判断将哪一个高速缓冲行保留在组中且将哪一个高速缓冲行逐出(也被称为“替换”)以为被放入到所述组中的新的高速缓冲行腾出空间,此被称为高速缓冲的替换策略。被替换的高速缓冲行被称为牺牲者或被替换的高速缓冲行。与高速缓冲存储器结合使用的流行的替换策略包括但不限于:最近最少使用(LRU)替换策略、伪最近最少使用替换策略及随机替换策略。
所谓的包容性高速缓冲层次结构要求存在于一个高速缓冲级中的数据块(例如,L1高速缓冲中的数据块)的副本(例如,高速缓冲行)也存在于更高的高速缓冲级(此处,更高是指具有比1高的编号的高速缓冲级)中,例如存在于L2高速缓冲及L3高速缓冲中。独占型高速缓冲层次结构仅具有存在于整个高速缓冲层次结构中的数据块的一个副本(例如,高速缓冲行),而非包容性层次结构可具有这两种方略的混合。在独占型高速缓冲层次结构及非包容性高速缓冲层次结构中,高速缓冲行在从给定的高速缓冲级被逐出之后一般会配置在下一更高的高速缓冲级中。
在知晓关于高速缓冲及高速缓冲行的这种背景的情况下,现在继续进行此论述来例示一种用于标识高速缓冲层次结构(比如图1及图2所示高速缓冲层次结构)内的特定高速缓冲位置的传统技术。图3示出包含CPU301、DTLB 303、L1高速缓冲307及L2高速缓冲311的计算机系统节点的组织的实例。CPU 301产生包含虚拟地址302(VADDR)的存储器请求,虚拟地址302用于在数据变换旁查缓冲器303中进行关联查找。虚拟地址302在此实例中被划分成三部分:P-OFFSET(页偏移,例如由低级位组成)、TAG及INDEX。虚拟地址302的INDEX部分用于标识DTLB303内可存储地址变换的组。
此实例中所使用的所示DTLB 303具有两路关联组织,所述两路关联组织示出所标识的组的两个项。每一个项由地址标签(ATAG)304及物理页帧(PPF)305组成。将虚拟地址302的TAG部分与所标识的组的每一个项的ATAG 304进行比较。逻辑318判断是否存在与各个项中的任何项的匹配,且如果存在,则控制MUX 306来选择对应的PPF 305。物理地址PADDR 316是通过对由MUX 306选择的PPF 305与虚拟地址302的P-OFFSET部分进行串接而构成。作为另外一种选择,如果所标识的组中的各个项均不与虚拟地址302的TAG部分匹配,则进行TLB填充操作且将所需要的变换项放入到DTLB303中。
使用PADDR 316在L1高速缓冲307中进行查找。更具体来说,使用PADDR 316的INDEX部分来标识可存储包含所请求数据的高速缓冲行的组。(应注意,这一L1INDEX可包含与DTLB INDEX不同的位)。所绘示的L1高速缓冲307具有两路关联组织,所述两路关联组织在所识别的组中具有两个项。每一个项由对应的高速缓冲行的地址标签(ATAG)308及数据309组成。将PADDR 316的TAG部分与所标识的组的每一个项的ATAG进行比较。逻辑319判断是否存在与各个项中的任何项的匹配,并控制MUX 310来选择对应的数据。如果所述各个项均不匹配(由L1未命中317表示),则需要在L2高速缓冲311中进行查找。尽管针对L2高速缓冲查找将PADDR316划分成INDEX部分及TAG部分可与针对L1高速缓冲查找作出的划分不同,然而针对L2高速缓冲查找进行的其余步骤通常相似于针对在L1级高速缓冲中的查找进行的其余步骤。如果确定在L2高速缓冲中未命中,则可需要在更高级的高速缓冲存中进行新的查找或对存储器进行存取。可注意到,如果L1高速缓冲使用的PADDR 316的INDEX部分完全由P_OFFSET位构成,则在来自MUX 306的PPF信息可用之前便可开始对L1高速缓冲307的存取。这常常被称为带虚拟索引、经物理标记的高速缓冲(VIPT)。
所属领域中的技术人员应理解,可将各自具有与图3所示存储器层次结构相似的存储器层次结构的多个“节点”连接在一起以形成相干多处理器系统。图1示出多处理器的另一个实例,其中每一个CPU具有各自的专用L1高速缓冲。这使对所请求的数据所驻留的位置进行定位的任务更复杂,这是由于始终对下一更高的高速缓冲级中的数据进行搜索将不再足够。需要用于搜索其他节点的高速缓冲以及用于使数据的存储在不同节点中的多个副本保持相干的机制。
总结与图3相关联的论述,所属领域中的技术人员应理解,高速缓冲层次结构的现代实施方式在可确定所请求的高速缓冲行的位置之前可能需要在变换旁查缓冲器中以及在不同的高速缓冲级处进行许多关联查找。另外,每一个变换项以及所高速缓冲的高速缓冲行需要伴随有地址标签且需要将多个这种标签与这些级中的每一级处的地址的一部分进行比较。总体来说,这种实施方式因用于进行每一次关联查找的动态能量而成本高昂。在用于存储为进行比较而需要的额外标签信息的静态能量及存储器容量方面也需要很大的开销。另外,包含多个CPU或各自具有各自的专用高速缓冲层次结构的多个节点的多处理器需要额外的代价高昂的搜索机制来对驻留在专用高速缓冲中的数据进行定位以及需要代价高昂的相干活动来使专用高速缓冲中相同日期的各复制副本保持相干。
因此,需要提供能避免与高速缓冲查找数据相关联的上述问题及缺陷的系统及方法。
发明内容
用于基于地点信息构建相干存储器的系统及方法
根据实施例,数据单元存储在多处理器系统的节点中的专用高速缓冲中,每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲及所述节点专用的至少一个高速缓冲位置缓冲器(CLB)。在每一个CLB中存储有位置信息值,每一位置信息值指示与相应的数据单元相关联的位置,其中存储在给定的CLB中的每一位置信息值指示所述位置是设置在与所述给定的CLB处于同一节点中的所述专用高速缓冲内的位置、是其他节点中的一个节点中的位置或者是主存储器中的位置。数据单元的值的相干性是使用高速缓冲相干性协议得到维持。所述高速缓冲相干性协议根据位置信息值各自的数据单元的移动来更新存储在CLB中的所述位置信息值。
根据实施例,以下对构建上述方法的系统加以考虑及阐述。
构建促进附近的全局高速缓冲的相干性存储器的系统及方法
根据实施例,一种方法将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲。存储在所述专用高速缓冲及所述全局高速缓冲中的数据单元的值的相干性是使用高速缓冲相干性协议而维持的,所述高速缓冲相干性协议在存储所述数据单元的地点信息的目录中进行查找。所述位置信息将其中驻留有所述数据单元的位置标识为以下中的一者:节点、全局高速缓冲或存储器。
根据实施例,以下对构建上述方法的系统加以考虑及阐述。
通过阻止相干性构建相干存储器的系统及方法
根据实施例,将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)及所述节点专用的至少一个高速缓冲。所述节点通过网络进行连接。存储在高速缓冲中的数据单元的值的相干性通过分布式高速缓冲相干性协议而得到维持,所述分布式高速缓冲相干性协议在所述网络上发送相干性消息。一些相干性消息被阻止在网络上发送。如果其他相干性消息是针对与一相干性消息相同的地址区,则发送所述一相干性消息会激活所述阻止功能来阻止所述其他相干性消息。
根据实施例,以下对构建上述方法的系统加以考虑及阐述。
附图说明
并入本说明书且构成本说明书的一部分的附图示出一个或多个实施例并与本说明一起来解释这些实施例。在附图中:
图1是多处理器系统的方块图。
图2是单一处理器系统高速缓冲层次结构的方块图。
图3示出由组关联高速缓冲以及组关联数据变换旁查缓冲器组成的二级式高速缓冲层次结构的传统实施方式。
图4是示出包括二级式高速缓冲层次结构及二级式CLB层次结构的实施例的方块图,其中对第一级进行虚拟存取且对第二级进行物理存取。
图5是示出包括连接到二级式高速缓冲层次结构的两个CPU以及二级式CLB层次结构的实施例的方块图。
图6是示出根据实施例的具有单个单片式末级高速缓冲的概括式无标签高速缓冲层次结构的方块图。
图7是示出根据实施例的具有多片末级高速缓冲的概括式无标签高速缓冲层次结构的方块图。
图8是示出方法实施例的流程图。
图9至图13绘示根据实施例的一同构建数据相干与CLB相干的集成相干性协议的本地活动及全局活动的序列。
图14至图15是示出方法实施例的流程图。
具体实施方式
以下对实施例的说明将参照附图。不同图式中相同的参考编号标识相同或相似的元件。以下详细说明并非对本发明进行限制。而是,本发明的范围是由随附权利要求书界定。为简明起见,以下针对特定高速缓冲层次结构的术语及结构以及在这种层次结构中进行查找的方法来论述以下实施例中的一些实施例。然而,接下来将论述的这些实施例并非仅限于这些配置,而是可如随后论述地一样扩展到其他配置方式。
本说明书通篇中所提及的“一个实施例”或“实施例”意指结合实施例所阐述的特定特征、结构或特性包括在所公开主题的至少一个实施例中。因此,在本说明书通篇中各处出现的短语“在一个实施例中”或“在实施例中”未必均指同一实施例。此外,在一个或多个实施例中,所述特定特征、结构或特性可采用任何适当的方式进行组合。
在以下说明中,许多细节提供对实施例的透彻理解。这些细节包括功能块及示例性高速缓冲位置表CLB以用于构建作为传统高速缓冲标记方案的高效替代方式。另外,尽管参照具体的高速缓冲及计算机架构阐述了实施例的CLB方案,然而所述实施例可适用于宽广范围的存储器及系统架构。在其他情形中,未详细阐述众所周知的电路及结构,以免不必要地使实施例模糊不清。
根据本文所述各种实施例,各方法及系统尤其提供高速缓冲处理方案(CHS),所述高速缓冲处理方案明确地存储位置信息(LI)。位置信息被用于对具有主存储器以及一个或多个处理器的计算机系统的多路高速缓冲进行高效存取。CHS对所谓的高速缓冲层次结构的内容进行跟踪,但由于本文所述一些特征的性质,存储系统的拓扑结构未必需要为层次结构。所述跟踪是由高速缓冲位置缓冲器(CLB)或CLB的一些层次结构来进行的。高速缓冲包括高速缓冲行,高速缓冲行被划分成与路的数目对应的多个节段。
根据实施例,并非将每次高速缓冲行在层次结构中的各个级之间以及在各个节点之间移动时所知晓的有用的位置信息丢弃,而是将所述位置信息存储在CHS中(例如,在高速缓冲处理方案中更新所述位置信息)并将所述位置信息用于随后的高速缓冲存取。CLB是采用关联方式来组织的。因此,每一个CLB项包括地址标签字段以及与地址标签字段相关联的多个LI,当然根据其他实施例也可存在用于存储位置信息的其他技术。接着,可使用存储在CLB中的信息来对所请求数据的位置进行快速存取而无需进行额外的关联查找。更精确来说,CLB提供位置信息LI,从位置信息LI可确定正确的高速缓冲身份以及高速缓冲内的正确位置而不需要存取高速缓冲的任何地址标签。LI还可将远程节点标识为相关联数据的位置。因此,使用根据这些实施例的技术,可在高速缓冲层次结构中更快速地且采用消耗更少能量的方式找到所请求的数据。所阐述的技术还使得能够高效地构建更灵活且层次更少的高速缓冲拓扑结构。
对于由具有相同关联性的组关联高速缓冲组成的高速缓冲层次结构而言,每一条LI中的位的数目依赖于路的数目、不同高速缓冲的数目以及被CLB覆盖的节点的数目。可预留一些LI值来存储具有特定硬编码意义的特定图案。举例来说,可为存储器图案预留一个LI值,此意味着在存储器层次结构的被CHS覆盖的部分中不存在数据。在一个实施方式中,假设节点的数目小于所标识的高速缓冲的数目乘以路的数目,则LI位的数目等于路的数目加上被CLB覆盖的高速缓冲数目加1之和的以2为底的对数(即,log2(路+级+1))。如果不同的高速缓冲级的路的数目发生变化,或者如果使用除组关联高速缓冲之外的高速缓冲,则所属领域中的技术人员应理解,可能存在其他位置信息表示形式。
CHS通过CLB对高速缓冲进行的存取可例如一般来说根据实施例采用如下方式进行。在接收到目标高速缓冲行的地址后,CLB尝试着将高速缓冲位置缓冲器中已存储的高速缓冲位置项(CLE)中的一者的地址字段与地址的TAG部分进行匹配。如果存在匹配,则使用地址的LI偏移部分取回CLB项的LI。CHS直接使用LI值以及地址的索引部分来向高速缓冲的单个高速缓冲行进行索引。因此,高速缓冲不需要存储任何地址标签信息。
因此,根据实施例,系统(例如图1及图2所示系统)还可包括本文所述高速缓冲处理方案(CHS)。这一方案包括高速缓冲位置缓冲器(CLB)或CLB的层次结构,所述高速缓冲位置缓冲器或高速缓冲的层次结构跟踪存储在高速缓冲层次结构中的数据单元的位置。每当数据单元在高速缓冲层次结构中移动时,数据单元的新位置便被记录在CLB中。
根据这种实施方式的CLB可被实施为关联组织,以存储多个高速缓冲位置项(CLE)。每一个CLE包含用于关联查找的地址标签以及一些净荷(例如,高速缓冲位置表(CLT))以存储多个位置信息(LI)。实际上,CLB自身可被视为用于存储位置信息的高速缓冲。
为示出各种实施方式的这些及其他特征,现将相对于图4至图15来阐述这种实施例。为清晰起见,所属领域中的技术人员将理解,这些图示出实施例的逻辑实施方式而非实际物理实施方式。所属领域中的技术人员因此应理解,这些图示出实施方式的逻辑表示形式,且应理解,各物理实施方式在它们的实施细节上可为实质上不同的。
在一个实施例中,所跟踪的数据单元对应于高速缓冲行,且存储这些数据单元的高速缓冲是以组关联方式进行组织的。
在一个实施例中,与匹配的CTE的所请求高速缓冲行对应的位置信息(LI)可指示所请求的高速缓冲行驻留在哪一个高速缓冲中。这将使实施例在合适的高速缓冲处进行直接高速缓冲查找。举例来说,在图4所示系统(所述系统可为多处理器系统中的节点)中,位置信息可将L1高速缓冲与L2高速缓冲区分开。所述位置信息也可能够将在多处理器系统的未在此图中示出的其余部分中存在的其他高速缓冲区分开。图4示出无标签节点,所述无标签节点可连接到多处理器系统中的其他节点,所述多处理器系统具有由组关联高速缓冲及CPU(所述中央处理器可包含一个或多个传统高速缓冲,此处绘示为L0)形成的二级式高速缓冲层次结构,其中CPU 401产生地址(ADDR)402。图4还示出由组关联CLB形成的二级式CLB层次架构,其中CLB1 410及CLB2 420是以ADDR作为索引。CLB1中的每一项由至少地址标签(AT)411及高速缓冲位置表(CLT)412组成。CLB1中的每一项可存储额外的信息,例如区信息(RI)413。CLT存储对应区(也称为微页面)的高速缓冲行的位置信息(LI)。作为实例,图4对每个区示出四条LI。发明人作出的经验研究表明,每个区8到16条LI(即,每个区8到16个数据单元(高速缓冲行))将为具有成本效益且高效的。位置信息对多处理器系统内可从中找到对应高速缓冲行的位置进行编码。位置信息可例如包含可从中找到数据单元的高速缓冲的身份。位置信息还可包含可从中找到数据单元的高速缓冲内的位置。作为另外一种选择,位置信息可包含可从中找到数据单元的节点的身份或者包含某个提供关于数据单元位置的信息的符号,例如符号“MEM”指示可在存储器中找到数据单元。在一个实施例中,符号“不知晓”指示对应数据单元的位置未被记录在与区对应的CLT中。
MUX 416选择ADDR 411与地址402的TAG部分匹配的CLT且MUX 415基于ADDR 402的LI-OFFSET部分来选择与所请求的高速缓冲行对应的LI 414。如果存储在所选择的LI中的高速缓冲身份对应于L2高速缓冲440,则使用地址402的INDEX部分以及位置信息414的一部分来形成对L2高速缓冲440中的所请求的数据单元进行存取的地址417。在组关联L2高速缓冲440的实例中,此部分包含与存储所请求的数据单元的关联路对应的信息。如果存储在所选择的LI中的高速缓冲身份对应于L1高速缓冲430,则以相似的方式来形成对L1高速缓冲430中的所请求的数据单元进行存取的地址418。
图4示出其中L1高速缓冲430及L2高速缓冲440中的每一项分别具有反向指针(BP)432及442与其高速缓冲行相关联的实施例。(DATA)431及441分别。在此实例中,各个BP指向CLB2 420中各自相应的相关联的CTE。CLB2 420中的每一个CTE包含地址标签(AT)421、CLT422以及CLB1指针(C1P)423。如果CLB2项有对应的CLT驻留在CLB1 410中,则其C1P将指向此项。在这种情形中,CLB1 410将包含此区的最新信息。在这种情形中,将CLB1中的CTE称为现用CLB项,同时CLB2 420中针对这一区的CLB项包含被动项。在一个实施例中,如果CLB1 410包含区的现用项,则CLB2 420需要包含这一区的被动项。
在一个实施例中,当在CLB1 410中未能够找到匹配的CTE时,在CLB2 420中查找对应的CTE并将所述对应的高速缓冲表项复制到CLB1,同时将CLB1中旧的项复制到CLB2 420中,这被称为溢出及填充或者简称为溢出/填充(图4中的SPILL及FILL)。例如在传统的包容性高速缓冲将数据单元逐出到下一级以为可从下一高速缓冲级放入(填充)的新的数据单元腾出空间时,也会进行溢出。相似的溢出/填充活动将在不同的CLB级之间进行,其中CLB项在CLB级之间移动,这是由于各CLB级形成包容性层次结构。在一个实施例中,目录DIR处于CLB层次结构的根处且使最高级的高速缓冲位置缓冲器溢出/填充。
可与溢出/填充活动并行地开始对所请求的数据单元的存取。
在一个实施例中,多级高速缓冲位置缓冲器构建方式的CLB的内容与由其管理的多级高速缓冲系统的数据单元的位置保持一致。在高速缓冲层次结构中移动数据单元会使得在一个或多个CLB中更新所述数据单元的对应的位置信息,所述移动数据单元包括但不限于:由来自CPU的对数据单元的请求造成的移动、数据单元的逐出、预取活动及相干活动。举例来说,在图4中,从高速缓冲440逐出数据单元441可涉及以下步骤:跟随数据单元441的相关联的BP 442指针来找到其对应的CTE(所述对应的高速缓冲表项在此实例中处于CLB2420中)以及其对应的位置信息来指向数据单元的新位置(例如,存储MEM符号)。并且,如果对应的CLB2的CTE的C1P是有效的,则跟随C1P在CLB1 410中找到其对应的CTE的对应的高速缓冲表项并更新所述高速缓冲行相关联的位置信息。在一个实施例中,如果存在有效的C1P,则不更新CLB2中的项。在一个实施例中,仅更新现用的CLT。
如根据上述内容将理解,使用根据这些实施例的无标签高速缓冲系统(即,其中存储在高速缓冲中的数据单元不具有与所述数据单元相关联的地址标签的高速缓冲系统)将使得在CPU 401请求位于不同的存储装置中的各种高速缓冲行时将发生多个不同类型的事务。图4中所绘示的节点可为由多个这种高速缓冲节点构成的较大的多处理器系统的子系统(或节点)。使许多节点中的高速缓冲的数据保持相干需要高速缓冲相干性协议,例如,构建窥探相干或基于目录的相干。相干性协议将向图4中所绘示的节点发送相干请求(EXTERNAL REQUEST)。这些请求将通常首先转向CLB2 420,其可确定这一高速缓冲系统不具有所请求的高速缓冲行(CLB2未命中或者CLB2命中C1P为非现用的项,且所请求的高速缓冲行的位置信息保持有存储器图案的值)。如果是这样的,则不需要对数据高速缓冲L1及L2进行另外的操作(被称为相干过滤),但无论如何均需要对现用的CLT中对应的LI进行更新以跟踪与数据单元相关联的新位置。否则,CLB2查找可提供所请求的位置信息(CLB2命中且所请求的高速缓冲行的位置信息保持存储所述高速缓冲行的位置),或者其可确定CLB1410存储相关联的位置信息(CLB2命中及C1P有效)。在后一种情形中,在CLB1 410中进行查找需要确定所请求的高速缓冲行的位置或者需要确定所述高速缓冲行未驻留在此高速缓冲子系统中。(CLB1中对应的位置信息包含存储器图案)。根据相干请求的性质(例如,无效请求、读取请求或独占型读取请求)而定,相干性协议将对所请求的数据单元进行一些操作且可能会改变所请求的数据单元的状态。可能还需要更新现用CLT中对应的LI来跟踪与数据单元相关联的新位置。
即使图4示出的节点由两个高速缓冲级组成,然而所属领域中的技术人员应理解,所述节点可使用其他数目的级来构成且可包含多于一个CPU。另外,节点中高速缓冲级的数目与CLB的数目不需要为相同的。
实施例还可应用于其中多个CPU共享由CLB管理的高速缓冲的系统。举例来说,图5示出根据实施例由两个CPU节点(580及590)构建的系统,所述两个中央处理器节点中的每一者在它们各自的一级式高速缓冲层次结构内各自具有一个CLB1(503及513)以及专用高速缓冲L1高速缓冲(504及514)。系统还包含在两个CPU 501、511之间共享的全局共享L2高速缓冲523(有时被称为末级高速缓冲LLC)。
在CLB1(513或503)中进行的查找例如使用与CLB1 410相似的技术、基于由各自相应的CPU(501、511)产生的地址来选择单个LI。如由CLB1A 503及CLB1B 513中所示出的两个CLT项发出的三个箭头所表示,所选择的LI可将位置标识为处于对应的L1高速缓冲(分别为504及514)中、处于L2高速缓冲523中或处于其他节点(分别由570及571示出)中。在此实例中,CLB1A 503将其存取的数据标识为共享L2 523中的505,同时CLB1A 503将其存取的数据标识为其L1 514中的505(由实线箭头示出)。
图6示出无标签多处理器存储系统的概括图。此实例由从节点-1 601到节点-N699的N个节点组成。每一个节点具有X级CLB及Y级专用高速缓冲(即,节点专用的高速缓冲)。节点通过芯片上网络(NoC)650电路彼此连接在一起。NoC 650还将节点连接到目录(DIR)660、全局末级高速缓冲(LLC)670及存储器680。DIR 660是以与CLB相似的方式进行组织的且具有由至少地址标签(AT)661以及高速缓冲位置表(CLT)662组成的项。项还可包含额外的信息(例如,区信息(RI)663),以下会更详细地阐述所述额外的信息。节点中的最高级CLB(CLB-X 630、693)溢出到目录/从DIR进行填充。DIR还在使高速缓冲的内容与CLB的内容保持相干及一致的相干性协议中发挥核心作用。
CLB与DIR可被视为形成一个包容性“高速缓冲层次结构”,以用于对具有与数据高速缓冲层次结构的内容相关的信息的元数据进行高速缓冲。包含L-1到L-Y以及LLC的数据层次结构可被视为单独的高速缓冲层次结构而不具有强加于所述数据结构的包容性质。所述数据层次结构可例如为非包容性的。
在图6所示的实施例中,每一个节点具有一个CPU,其可能包含零个、一个或多个传统的基于标签的高速缓冲(绘示为L0-D及L0-I)。无法由任一个传统的L0高速缓冲满足的存储器请求将在节点的CLB-1(例如,610)中产生查找以搜索对应CLB项的CLT,此可能使用与图4中的CLB-1 410相似的方案来进行。如果在CLB-1中找到对应的项,则与所请求的数据单元对应的位置信息(LI)可位于所述对应项的CLT字段(612)中。LI标识可从中找到所请求的数据单元的位置。在一个实施例中,驻留在节点-1601中的CLB 610中的LI可将节点自己的高速缓冲(L-1 620到L-Y 640)中的一者、LLC(670)、存储器680或其他节点(节点-2 690到节点-N 699)中的任何节点标识为正在跟踪的数据单元的位置。在一个实施例中,LI还可对所标识的高速缓冲内的数据单元的位置进行标识。在一个实施例中,LI可指示数据单元是否驻留在与节点连接的CPU 610的传统高速缓冲L0-D或L0-I中的任何传统高速缓冲中。
如果高速缓冲位置(或存储器)被标识为可从中找到数据的位置,则通过直接对高速缓冲进行读取而无需查询目录(DIR)660便可满足所述请求。如果节点被标识为可从中找到所请求数据的位置,则向这一节点的CLB-X发送请求并采用与针对图4所论述的EXTERNALREQUESTS相似的方式来满足所述请求。仍然不需要查询目录来找到数据。这与最传统的基于目录的相干方案不同,在最传统的基于目录的相干方案中,则查询并更新目录来进行节点外的存取。
即使图6在每一个节点中示出一个CPU,然而所属领域中的技术人员将认识到,节点可包含任何数目的(包括零个)CPU、GPU、加速器或可对存储器进行存取的其他装置(例如,I/O装置)。另外,CLB及高速缓冲的配置及大小在各个节点之间可大相径庭。
在一个实施例中,在包容性CLB/DIR层次结构中存在严格的层次结构逐级搜索。如果在级CLB-i处未找到位置信息,则在下一级CLB-(i+1)处执行查找。如果在点头的最高级(CLB-X)中未能够找到位置信息,则在DIR中进行查找。如果在CLB级处或在DIR中找到位置信息,则可将读取请求发送到在这一级处由对应的位置信息标识的数据位置并在CLB-1中创建与所请求区对应的新的CLE。在一个实施例中,CLB/DIR层次结构是包容性的。这意味着如果存在在级CLB-L处可用的CLB项,则必须在级CLB-(L+1)处配置项。最靠近CPU的CLB项是现用的。在一个实施例中,在高速缓冲级K(以及较低的高速缓冲,即,图6中位置更靠上的高速缓冲)与特定CLB级C(以及全部较高的CLB级,即,图中位置更低的高速缓冲位置缓冲器)6之间强制执行包容。作为实例,为使数据在高速缓冲L-1 620到L-Y 640中的任何高速缓冲中可用,在CLB-X 630中必须存在对应的项。如果CLB-X 630中的项被逐出,则对应区的全部数据均应被从高速缓冲L-1 620到L-Y 640逐出。这被称为强制逐出。另外,还需要将同一节点的向下一直到CLB-1 610的全部CLB项逐出。
应注意,并不要求在节点内的各个高速缓冲级(例如,高速缓冲L-1 620到L-Y640)之间或者在LLC 660与节点中的高速缓冲(例如,高速缓冲L-1 620到L-Y 640)之间存在包容性。举例来说,与特定地址对应的有效数据可存在于L1高速缓冲620中,但不存在于高速缓冲L-Y 640中或LLC 670中。这为高速缓冲旁通优化开辟了道路,举例来说此时仅需要在L1中配置流数据(实际上,如果存在L0,则仅在其中配置流数据)即可,而不在其他级处配置。然而,需要在全部级处配置对应的CLB项(其通常小于对应的数据区的50分之一)。
目录(DIR)660包含CLB类信息:地址标签(AT)661、高速缓冲位置表(CLT)及区信息(RI)。尽管这些字段具有与CLB(例如,CLB-X 630)的字段相同的名称,然而这些字段的内容可不同于对应的CLB字段。在一个实施例中,DIR 660的RI字段663包含N个所谓的存在位(PB),所述存在位指示哪些节点正在跟踪所述区,其中N对应于系统中节点的数目。如果PB中的位K被置位,则意味着在节点K的CLB-X中存在对应的项。这还意味着在节点K的高速缓冲级L1到L-Y中的任何高速缓冲级中可存在经高速缓冲的数据,且还意味着在节点K中在CLB-1中可存在对应的项,这将使节点K中的CPU能够对对应区的任何高速缓冲行进行存取。尽管参照PB信息的N位构建方式进行了说明,然而所属领域中的技术人员将认识到,可使用用于目录构建的许多可扩展技术(包括但不限于粗粒度、受限指针以及链接列表)来构建相似的功能。
可使用PB位来对区进行分类。如果对应项在目录660中的PB位中确切的一个位被置位,则将区分类为专用区(PR)。在大量的研究基准中,经验研究表明所有被存取的区中平均大约80%是PR区。区分类PR意味着这一区的数据可仅存在于其对应的PB位被置位的一个节点中,且还保证此时其他节点不可存取来自这一区的数据。其PB位是目录中唯一被置位的位的节点601可被告知对应区是专用区(PR)且可将区分类记录在节点601的CLB中的(例如,CLB-1 610到CLB-X 630中的)对应的区信息(RI)中。PR区可在许多方面进行高效存取。举例来说,不需要全局相干来使节点获得对于节点中存在的数据单元的写入许可(所述节点当前仅具有对节点中存在的数据单元的读取许可)(不需要将数据单元从状态S升级到状态E),这是因为只有一个节点可具有所述节点的数据的高速缓冲副本且其他节点不可存取这一区的数据单元中的任何数据单元。也可高效地构建PR的数据单元的移动,这是因为数据可在整个高速缓冲层次结构中上下移动(例如,从L-X逐出到LLC或者将数据LLC移动到L-1),而无需告知节点外部的任何结构。还需要将移动记录在节点本地的CLB(610到630)中。这意味着存储在节点的高速缓冲位置缓冲器(610到630)中的PR区的CLT信息可不同于(例如,更新于)存储在DIR 660中的区的CLT信息。
当第二节点(例如,690)对PR区进行存取(经验研究表明这是相对罕见的事件)时,在第二节点的全部CLB 691到693中均将出现未命中,且第二节点将向DIR 660发送请求以得到对于存取数据而言所需的合适的位置信息LI且还使得能够在CLB 691到693中创建第二节点自己的CLB项。由于这一请求是针对PR区的,因此DIR 660可不具有最新信息,目录660需要从节点601得到最新位置信息并确保节点601的CLB不再将所述区标记为PR,并更新自己的关于这一区的CLT信息,对与节点-2 690对应的PB位进行置位并将所述区的合适的CLB信息发送到节点690。节点-2 690现在可创建节点-2 690自己的与所述区相关联的本地CLB项。在一个实施例中,节点601必须在将最新位置信息发送到DIR 660之前完成节点601的所有正在进行的针对这一区的直接对数据存储器请求。
如果还有另一个节点(例如,节点-N 699)也对所述区进行存取,则此另一个节点也会在其所有的CLB中出现未命中且也将向DIR 660发送请求,目录660现在便会具有关于所述区的最新信息且可向节点-N 699回复合适的信息且还将对所述区的针对请求节点(即,节点699)的PB位进行置位。
多于一个PB位被置位的区被分类成共享区(SR)。这意味着多于一个节点具有跟踪此区的位置信息的CLB。这还意味着对应PB位被置位的节点可将此区的数据单元存储在所述节点的高速缓冲(例如,620到640)中的任何高速缓冲中。如果这一区被分类为SR,则来自CPU(例如,610)的存取数据单元的请求在无法在节点内在本地得到满足(例如,通过高速缓冲L-1 620到L-Y 640得到满足)时可能需要发起全局相干事务。
在一个实施例中,对SR或PR区进行的全局相干读取事务(无法由本地高速缓冲(例如,高速缓冲L-1 620到L-Y 640)满足的读取)可利用从所述区的本地CLB(例如,CLB-1 610到CLB-X 630)取回的位置信息来直接存取数据而不涉及DIR 660或者不与除可由位置信息标识的节点之外的任何节点进行通信。此在本文中被称为直接对主机存取。经验研究表明,对SR区进行的所有全局相干事务中75%是直接对主机读取事务存取。因此,如果由CPU发起的全局事务中20%是针对SR页且这20%中75%不需要对DIR进行存取,则在使用根据这些实施例的高速缓冲结构及技术时,这些全局相干事务中95%不需要对DIR进行存取,而对于传统的基于目录的协议,所有全局相干事务均需要对DIR进行存取。
由于SR区具有多个节点跟踪其数据单元的位置,因此这些数据单元移动需要反映在跟踪所述区的所有节点中(即,这些节点具有所述区的现用的CLB项)且发生移动的数据单元的位置信息会在这些节点中进行更新。在一个实施例中,应采用相干方式更新跟踪数据单元的不同节点的CLB的位置信息以使得CLB就数据驻留在何处(被称为其主位置)达成共识。在一个实施例中,还保证基于从CLB取回的位置信息进行存取的数据单元位置包含正确数据(被称为确定性)。
这种数据单元移动的一个共同原因是全局相干写入请求,即当前不具有对数据单元的写入许可的请求节点通过全局相干请求(例如无效请求或独占型读取请求)来请求得到写入许可。在已完成这一请求之后,数据单元将只驻留在发出请求的节点中。
在一个实施例中,发出请求的节点(例如,601)将全局相干写入请求发送到目录660,目录660对对应的目录项进行定位、取回所述区的对应的PB位并向对应PB位被置位的所有节点(除发出请求的节点之外)转发请求,这些节点被称为从节点(例如,节点690及699)。在从节点已确认在从节点中发起的对于数据单元的任何未来的请求均将被引导到发出请求的节点时,从节点发送ACK消息。这可例如通过将节点的对于位于所述节点的CLB中的数据单元的位置信息改变成指向发出请求的节点(601)来实现,其将成为新的主节点。ACK消息可被发送到DIR 660,其汇总它们且一旦已接收到所有ACK便向发出请求的节点发送ACK,或者被直接发送到发出请求的节点601。一旦发出请求的节点知晓已接收到所有ACK,发出请求的节点便已获得对于高速缓冲行的独占型写入许可且会完成请求。在一个实施例中,在完成请求后将完成消息发送到DIR 660。
数据移动的另一个共同原因是逐出(也被称为替换)。节点本地的逐出(例如,从L-1 620逐出到L-Y 640)是在本地进行处理、由节点的本地CLB项跟踪且在节点外不可见。然而,主数据单元的全局逐出(例如,从L-Y 640中的位置641到LLC 670中的新位置671的逐出)必须由跟踪所述区的所有节点(即,具有针对这一区的CLB项的所有节点)反映。在一个实施例中,全局逐出是通过首先将存储在数据单元的旧位置(641)中的数据单元的值复制到新位置(671)、同时使存储在旧位置(641)中的数据保持有效来实施。接着,将具有关于新位置(671)的信息的全局相干逐出请求从发出请求的节点(例如,601)发送到DIR(660),其将请求转发到从节点(例如,690及699)。一旦从节点已将从节点的对应位置信息更新到新位置(671),从节点便发送ACK消息。一旦发出请求的节点知晓所有ACK均已发送,则发出请求的节点便已获得对于数据单元的逐出许可且可回收旧位置并完成请求。在一个实施例中,在请求完成后向DIR 660发送完成消息。
在一个实施例中,从节点在其对于所请求高速缓冲行的未决请求(例如,所有直接对主机请求)中的一些请求完成之前不会发送ACK消息。
在一个实施例中,目录660构建阻止机制,所述阻止机制确保对于每一个数据单元而言可存在至多一个特定类型的未决全局请求。这些请求类型的实例可为全局相干写入请求及全局相干逐出请求。对于高速缓冲行进行的这种阻止会在已完成所述请求之后结束,或者对于高速缓冲行进行的阻止的结束是由相干性协议以其他方式规定。阻止机制可为精确的,例如在目录中由每个数据单元一个锁来构建,或者可为过于近似的,在这里地址散列到有限的锁池且对数据单元的阻止将会阻止对散列到同一个锁的所有其他数据单元的存取。
在一个实施例中,阻止是以区粒度而非数据单元粒度来进行,且CLB/目录溢出/填充请求中的一些请求也需要被阻止直到它们的更新已完成为止。这会保证在节点及目录使CLB信息溢出/填充的同时不存在正在进行的全局相干写入请求。
另一种有用的区分类是与区相关联的PB位在DIR 660中均未被置位的区。这意味着对于所述区而言,数据单元无法存在于节点的高速缓冲L-1至L-Y中的任何高速缓冲中且当前没有节点可对这一区的数据单元进行存取。这被称为未被跟踪的区(UR)。UR区的数据仍可在LLC中进行高速缓冲。在一个实施例中,可从LLC 670逐出UR区的数据单元而无需任何全局相干请求。在DIR 660中不具有对应项的区被分类为存储区(MR)。MR区的数据单元无法在节点或在LLC中进行高速缓冲。从DIR 660对CLT进行替换意味着应强制性地从LLC逐出这一区的所有数据单元。
在一个实施例中,图6所示高速缓冲系统是无标签高速缓冲系统,即,存储在高速缓冲(例如,节点的高速缓冲L-1到L-Y以及LLC)中的数据单元不具有相关联的地址标签的高速缓冲系统。而是,具有相关联的地址标签的位置信息将标识存储数据单元的位置。但是,图6中所包括的传统高速缓冲(L0-I及L0-D)仍可具有与其高速缓冲数据单元相关联的地址标签。
图7与图6的不同之处在于,LLC已被切成LLC片(LLC-1 745、LLC-2 772及LLC-N779)且被放置在芯片上网络(NoC)750的靠近节点的另一侧上。各个片中的每一者分别配置有替换计数器(RC)775、776及777。这些计数器对在每一个LLC片中高速缓冲替换的数目进行计数。应注意,图7所示实施例将LLC片放置在每一个节点(由虚线框表示)外部。即使每一个LLC片(例如,771)与每一个节点相邻且可由节点的CPU(710)以非常短的延时进行存取,然而它们仍可被视为一个大的全局LLC。从CLB-X(例如,730)逐出区项未必需要使驻留在靠近节点(例如,771)的LLC片中的对应的区数据被强制性地逐出。另外,节点(例如,701)可将位置信息存储在其CLB(例如,710)中以径直指向与远程节点相邻的远程LLC片中的位置(例如,772中的位置)且可对此数据进行存取而无需通过DIR 760或那一节点(790)的任何CLB间接进行。
如今存在从图7想到的LLC构建方式(仅在LLC在实体上被划分成在实体上靠近节点放置的存储体的意义上而言,而非相对于图7所示其他元件而言)。然而,如今的解决方案(例如来自英特尔的服务器芯片)根据数据单元的地址将数据单元放置在LLC存储体中,此会使命中LLC存储体的存取中25%将命中靠近节点的存储体,此处假设存在四个节点。根据实施例阐述的高速缓冲存取技术(例如,基于位置信息指针)使得数据单元的放置具有充分灵活性而不依赖于数据单元的地址,此可潜在地增大LLC命中的本地部分。一个选项是在对共享数据进行存取的所有LLC片中复制所有共享数据。然而,在所有LLC片中复制所有共享数据将会消耗LLC容量。如之前所述,通常区中20%是共享的。假设不需要在所有节点中对所有共享区进行复制,四节点系统将耗用其LLC容量的80%来存储所复制的LLC数据。这明显是欠佳的,导致需要更智能的LLC分配/复制决策。
当数据单元被首先分配给LLC片时,始终将所述数据单元置于与对其进行存取的CPU相邻的LLC片中可看起来为有益的。然而,由于在不同的CPU中运行的线程可具有不同的LLC容量需求,因此有时将数据单元放置在远程LLC片中且由此“盗用”其本地LLC容量中的一些容量可为有益的。在一个实施例中,分配决策是基于LLC高速缓冲压力作出的。每一个LLC片均具有替换计数器(RC 775、776、777),寄存在所述片中发生的替换的数目。在一个实施例中,对这些计数器进行周期性地监测以确定对于每一个LLC片而言的高速缓冲压力,其中计数越高表示压力越高。当对数据单元分配LLC空间时,如果末级高速缓冲片的高速缓冲压力最低,则始终在本地LLC片中分配空间。如果不是这样,则将对当前具有最低压力的远程LLC片进行其分配的可配置的一部分,而其余分配则在本地LLC片中进行。在一个实施例中,在远程LLC片中对空间进行分配将仅在某些情况下进行,例如,在本地LLC片的高速缓冲压力高于特定阈值时。
在其中多个节点共享单个末级高速缓冲的传统高速缓冲拓扑结构(例如图1所示L2 103或图6所示LLC 670)中,每一个数据单元通常有至多一个副本驻留在LLC(被称为共享高速缓冲)中,而节点专用的高速缓冲(还被称为专用高速缓冲)(例如图1所示L1高速缓冲102)可各自存储同一数据单元的复制副本。图7所示LLC片具有以下两种选项:将数据单元的单个副本存储在LLC片中的一者中且使用相同的位置信息来存取所有节点;或者将数据单元的多个所谓的复制副本存储在多个LLC片中。
举例来说,数据单元774可为数据单元的唯一LLC副本且CLB-1 710中的CLT 712以及CLB-1 791中的CKT二者均将各自的相关联的位置信息指向位置774。此在本文中被称为共享LLC数据。在作出决策来复制数据之后,可将数据的副本置于位置773中且将CLT 712中相关联的位置信息改变成指向位置773。存储在773中的数据单元被称为本地LLC数据单元。存储在位置773中的本地数据仅可通过在位于节点1 701本地的CLB中进行的查找来间接存取,而存储在位置774中的全局数据仍可通过例如节点N 799利用指向位置774的位置信息来直接存取。
在一个实施例中,由指令未命中(例如,指令高速缓冲L0-I中的未命中)引起的对远程LLC片进行的存取可使数据单元被复制并且还驻留在与发出请求的CPU的节点相邻的LLC片中。这是由对于指令的高速缓冲未命中通常会引起比数据未命中更大的性能劣化这一事实造成的。
在一个实施例中,对远程LLC片中所谓的“热”数据单元的存取可使数据单元被复制并且还驻留在与发出请求的CPU的节点相邻的LLC中。热数据单元是被频繁存取的数据单元。可例如通过对自上次对数据进行存取以来的持续时间进行测量来检测热数据。此可例如通过提供与数据单元相关联或与位置信息相关联的“存取时间戳”来实施。还可通过高速缓冲替换算法(例如,LRU或伪LRU)来检测热数据,高速缓冲替换算法可检测最近是否已对数据单元进行了存取。在一个实施例中,一组中被标记为最新使用(MRU)数据单元的所存取数据单元被分类为热数据单元且引起复制。发明人自己的经验研究表明,智能的分配及复制决策(例如以上论述的分配及复制决策)可使平均80%的LLC存取命中本地LLC片。
在一个实施例中,对远程LLC片的存取可使数据单元迁移到与发出请求的CPU的节点相邻的LLC片。迁移决策可基于区的类别作出。举例来说,对专用区的存取可使数据单元迁移到与发出请求的CPU的节点相邻的LLC片。更具体来说,如果所存取的区被分类为专用区(PR),则指令存取或者对热数据的存取可造成迁移。
在一个实施例中,图7所示高速缓冲系统是无标签高速缓冲系统,即,存储在高速缓冲(例如,节点的高速缓冲L-1到L-Y以及LLC)中的数据单元不具有相关联的地址标签的高速缓冲系统。而是,具有相关联的地址标签的位置信息将标识存储有数据单元的位置。图7中所包括的传统高速缓冲(L0-I及L0-D)仍可具有与其高速缓冲数据单元相关联的地址标签。
图8示出方法实施例且将在下文与其他方法实施例一起进行详细阐述。
通过参照将在采用这些实施例的多处理器系统中出现的实际存储器存取事务,可更好地理解上述实施例的操作。举例来说,图9至图13描述可由实施例用来在图6所示数据高速缓冲层次结构与CLB层次结构之间维持特定相干性及确定性的序列。对于图7所示多处理器系统而言,也可使用相似的序列来保持相干性及确定性。
图9至图13示出带编号的步骤,所述带编号的步骤包括在图6中所标识的四个不同元件之间的通信步骤,所述四个不同元件由位于图9至图13顶部处的区块表示。具体来说,这四个不同的元件包括:1)请求方节点(例如,601),是开始进行活动(例如因在节点内发起的请求无法在这一节点内在本地得到满足而开始进行)的节点;2)DIR 660,充当对于存储在节点1到节点N中的CLB信息的后备存储(溢出/填充)且还参与使数据单元保持相干的全局相干活动;3)数据单元的主位置,例如,LLC 670中的位置671;以及4)笼统地表示“PB节点”(即,除发出请求的节点之外,对应PB位在DIR 660中被置位且对应于所请求的数据单元的节点)的元件,所述“存在位节点”也被称为从节点。
所采取的步骤在这些实施例中是按照编号顺序来阐述,然而所属领域中的技术人员将认识到,所述步骤中的一些步骤可并行执行且各个步骤之间可存在其他可能的顺序。
转至图9,此图绘示根据实施例的为与发出请求的节点601中的CLB命中相结合地解决全局相干“读取未命中”而需要的全局步骤及本地步骤,即,在节点-1 601中发起的对于节点601中不存在的数据单元的数据请求。数据单元的主位置处于LLC 670的位置671中。此实例适用于PR与SR区二者。会采取以下步骤:
步骤901)节点601命中其CLB中的一者,从LI确定需要全局读取请求,并利用LI来形成以数据单元的主位置671作为目标的读取请求(ReadReq)。
步骤902)在ReadReq请求中提供的信息足以找到高速缓冲(LLC 670)以及所述高速缓冲内数据单元所驻留的位置(671)。此会使得数据响应(数据)被发送回节点-1 601。
步骤903)节点601在节点内找到合适的本地位置(LL)(例如,空闲位置,可变得空闲的位置或者以其他方式可用的位置),并将与数据单元关联的对应本地CLB项的位置信息(LI)改变成指向此本地位置。
步骤904)将本地位置标记为具有共享状态(S)以指示副本是可读取的但不可写入的。
此数据单元的主副本保持位置671,因此既不需要与DIR 660进行通信也不需要与从节点进行通信(或者通过位置671与“PB节点690及699”区块之间的信号示出)。
转至图10,此图绘示根据实施例的为与发出请求的节点中的CLB未命中相结合地解决全局相干“读取未命中”而需要的全局步骤及本地步骤。此实例适用于SR及UR区(在与所请求的数据单元对应的DIR中被置位的PB位的数目不等于1)。会采取以下步骤:
步骤1001)节点601无法在其CLB中找到对应的项,并形成以DIR 660为目标的读取请求CLB未命中(ReadReqCM)。
步骤1002)DIR 660将此区标记为被阻止的(可能通过从有限散列锁池选取锁来阻止)。
步骤1003)DIR 660对与节点-601对应的等于1的PB位进行置位。
步骤1004)DIR 660确定主位置是位置671并向此位置发送读取请求。
步骤1005)并行地,DIR 660向节点601发送CLB信息以使其创建与数据单元相关联的本地CLB项。
步骤1006)将数据从主位置671发送到发出请求的节点601。
步骤1007)节点601在节点内找到合适的本地位置(LL)并将本地CLB项改变成指向此本地位置。
步骤1008)将本地位置标记为共享状态(S)以指示副本是可读取的但不可写入的。
步骤1009)发出请求的节点601向DIR 660发送完成消息(Cmp)。
步骤1010)DIR 660对所述区解除阻止。
转至图11,此图绘示根据实施例的为与发出请求的节点中的CLB未命中相结合地解决全局相干“读取未命中”而需要的全局步骤及本地步骤。此实例适用于PR区(在与所请求的数据单元对应的DIR中被置位的PB位的数目等于1)。本实例假设PB位仅针对节点690被置位。会采取以下步骤:
步骤1101)节点601无法在其本地CLB中找到对应的项,并形成以DIR 660为目标的读取请求CLB未命中(ReadReqCM)。
步骤1102)DIR 660将此区标记为被阻止的。
步骤1103)DIR 660对与节点601对应的PB位进行置位。
步骤1104)DIR 660确定所述区是PR且确定所述区不具有最新CLB信息。由于CLB的对应的PB位在DIR的RI字段663中被置位,因此CLBReq被发送到节点690。
步骤1105)节点690的CLB将表示区的分类PR的区信息(RI)清除。
步骤1106)一旦节点690已完成其未决的直接对数据读取请求,节点690便将CLB信息回复给DIR 660。
步骤1107)DIR 660向发出请求的节点601转发CLB信息以使其创建与数据单元相关联的本地CLB项。
步骤1108)节点690确定所请求数据的主位置是位置671并向此位置发送读取请求。
步骤1109)将数据发送到发出请求的节点601。
步骤1110)节点601在节点内找到本地位置(LL)并将本地CLB项改变成指向此本地位置。
步骤1111)将本地位置标记为共享状态(S)以指示副本是可读取的但不可写入的。
步骤1112)节点601向DIR 660发送完成消息(Cmp)。
步骤1113)DIR 660对所述区解除阻止。
转至图12,此图绘示根据实施例的为与发出请求的节点中的CLB命中相结合地解决全局相干“写入升级未命中”而需要的全局步骤及本地步骤。此实例适用于SR区(在对应数据单元的DIR中被置位的PB位的数目大于1)。本实例假设PB位仅针对节点690及节点699被置位。会采取以下步骤:
步骤1201)节点601找到其本地CLB中的对应项并确定所述对应项具有处于共享状态(S)的数据单元的本地副本,且形成以DIR 660为目标的无效请求(InvReg)。
步骤1202)DIR 660将此区标记为被阻止的。
步骤1203)DIR 660将与所请求的数据单元对应的LI设定成指向节点601。
步骤1204)DIR 660向两个从节点(即,节点690及699)发送无效请求(Inv),其中所述两个从节点的对应的PB位在DIR 660中被置位。
步骤1205)两个从节点(690及699)将它们的与所请求的数据单元对应的LI设定成指向节点601。
步骤1206)两个从节点(690及699)确认无法再对它们所具有的数据单元的任何本地副本进行存取(由改变成无效状态的状态来表示(状态:=I)。
步骤1207)两个从节点(690及699)向发出请求的节点(601)发送确认消息。
步骤1208)在发出请求的节点已接收到所有确认消息(将接收的消息的数目可由消息自身载送)之后,将节点601中数据单元的副本标记为独占型状态(E)以指示副本既可读取也可写入。
步骤1209)节点601向DIR 660发送完成消息(CMP)。
步骤1210)DIR 660对所述区解除阻止。
转至图13,此图绘示根据实施例的为解决来自节点601的全局相干逐出请求而需要的全局步骤及本地步骤。举例来说,节点601可将数据单元的脏副本存储在节点601的应被逐出到LLC 670中的位置671的高速缓冲L-Y 640中。本实例假设目录660中与相关数据单元对应的PB位被针对节点690及节点699置位。会采取以下步骤:
步骤1301)节点601将数据单元的值复制到新位置671(CopyData)。
步骤1302)在这个步骤期间,确定CopyData操作已达到可保证以此数据单元为目标的任何ReadReq将保证会返送回数据单元的更新副本的程度。这可例如由从网络内部产生的信号通过网络排序性质来实现,或者如在图13的实施例中所示在已接收到CopyData信号之后通过目标位置771向节点601发回所复制信号来实现。
步骤1303)发出请求的节点601向DIR 660发送用于逐出数据的请求(EvictReq)。
步骤1304)DIR 660对区进行阻止。
步骤1305)DIR 660将目标位置671标记为数据单元的LI。
步骤1306)DIR 660向对应PB位在DIR 660中被置位的两个从节点(即,节点690及699)发送新位置信息(NewLI)。
步骤1307)两个从节点(690及699)将其对应于目标位置671的LI置位。
步骤1308)两个从节点(690及699)向发出请求的节点(601)发送确认消息。
步骤1309)在发出请求的节点接收到所有确认消息后,可释放被已逐出的数据单元占据的空间(被表示为状态:=I)
步骤1310)节点601向DIR 660发送完成消息(Cmp)。
步骤1311)DIR 660对所述区解除阻止。
可注意到,DIR 660在图12的步骤1204中向所有具有有效CLB项的节点发送Inv消息。这可潜在地使得比仅向已知已读取所请求的数据单元的节点发送Inv消息的传统的基于目录的相干性协议发送更多Inv消息。在一个实施例中,这种效果是通过被称为CLBweeding的技术来实现的,在这种技术中,如果检测到节点接收到不必要的Inv消息,则将一些CLB项逐出。举例来说,如果在节点的高速缓冲中未驻留有对应区的任何数据单元且针对所述区接收到Inv消息,则可将CLB项逐出。发明人已通过经验表明,尽管有可能发送更多Inv消息,然而由本实施例中所提出的系统发送的消息的总数目少于由传统的基于目录的相干系统发送的消息的数目的一半。
在一个实施例中,如果因DIR中的PB位表示形式而仍检测到区产生过多相干消息,则可将区转换成被称为精细粒度区(FR)的专门分类。FR区(预期会非常少)在DIR中具有专门的项,所述专门的项可跟踪具有与每一个高速缓冲行相关联的共享副本的节点组,此可能使用目录表示形式技术(例如,全映射、DirN-B、DirN-NB或粗粒度式表示形式)来进行。所述区的CLB项将被标记为FR区且将在开始时将它们的位置项置位成符号“不知晓”(DK)。此将促使全局相干读取请求查询DIR来找到数据单元的位置,此将允许DIR跟踪节点是否具有与所请求的数据单元相关联的共享副本。此信息使DIR仅向已请求高速缓冲行的节点发送Inv消息。
在一个实施例中,节点将PB位存储为节点的CLB项(例如,610到630)的区信息(RI)的一部分。此使得请求相干写入许可的节点能够向从节点直接发送无效请求,而非通过目录进行间接存取。
如果提供阻止机制(例如结合DIR 660所述的机制),则多处理器系统的高速缓冲相干性协议可得到很大程度的简化。然而,存在各个节点之间的直接通信优先于通过目录间接进行的情形,例如,在一些实施例中将PB位存储为节点的CLB中的区信息(RI)的一部分以使得无效消息能够直接在各个节点之间发送而无需目录查找。具有从目录解耦合的阻止机制将是有益的。这不仅将节省额外的目录查找延迟及能量,而且将潜在地减少所发送的NoC事务以及减少时域交叉。
在一个实施例中,网络会构建阻止功能,所述阻止功能阻止某些消息在网络上发送。此可通过在网络中集成锁阵列来构建,其中使用与消息相关联的地址的一部分来选择与消息对应的锁。当在网络上发送特定类型的消息时,可获取对应的锁(锁得到置位)。在所述锁仍保持被获取时,会阻止特定类型的其他消息在网络上发送。需要通过由相干性协议规定的一些事件将锁释放,之后才能发送与所述锁相关联的被阻止消息。
获取锁的消息可为广播类型的,此意味着可存在多于一个节点接收所述消息。在一个实施例中,接收到所述消息的一个或多个节点需要采取措施(按照相干性协议的规定)来将相关联的锁释放,之后才能发送被阻止的消息。在一个实施例中,当锁得到释放时,发送节点会得到通知。
在一个实施例中,由发出请求的节点发起的单个写入请求可产生被发送到多个从节点的无效广播消息,此将使得获得锁。从节点中的每一者使其数据单元无效化并向锁应用释放机制。一旦所有从节点均已应用它们的释放机制,锁便得到释放且发出请求的节点会得到通知并将被授予写入许可。这应与传统的基于目录的相干系统进行比较,在传统的基于目录的相干系统中,在节点中发起的写入请求将涉及到以下步骤:向目录发送写入请求;目录锁定从节点并向从节点发送无效消息;从节点使数据单元无效化并发送Ack消息;发送请求的节点检测何时接收到所有Ack以及得到写入许可;在目录中对锁进行解锁。
本文所述机制与利用传统的基于标签的高速缓冲构建的传统的基于目录的相干高速缓冲存储器系统相比具有许多明显的差异。已阐述了具有一种或多种以下独特性质的实施例:
1)用于标识可在何处找到数据单元的可读取副本的高速缓冲位置信息是在靠近CPU的所谓经高速缓冲的位置缓冲器(CLB)中进行高速缓冲的。
2)CLB形成单独的包容性“CLB高速缓冲层次结构”在其根部处具有目录DIR并存储与在单独的“数据高速缓冲层次结构”中在何处存储数据单元有关的“元数据”信息。这不同于传统的高速缓冲,传统的高速缓冲存储与每一个高速缓冲行相关联的地址标签“元数据”以标识其身份且由此需要搜索每一级中是否具有所请求的数据单元。CLB+DIR是以层次结构方式来进行组织及搜索的。
3)“数据高速缓冲层次结构”的数据高速缓冲不需要如传统高速缓冲所常见的一样以层次结构方式进行组织及搜索。
4)目录使用“PB位”对可能具有区的高速缓冲行的副本的(或者可对区进行存取的)节点进行跟踪。此信息用来操纵一些相干消息,例如无效消息(Inv)。这不同于对可能具有与每一个高速缓冲行相关联的共享副本的一组节点进行跟踪的传统目录。
5)检测专用区,只有一个节点可存取所述专用区的数据单元且可具有所述区的数据单元的经高速缓冲的副本。
这些特征能够实现在传统的基于目录的相干存储器系统中所不存在的多个独特的性质。
●全局相干请求中80%不需要将相干性考虑在内,这是因为可保证只有一个节点可对数据进行存取且可具有所存取的数据的高速缓冲副本,例如归因于以上特性(5)。
●全局相干读取请求不需要查询目录,这是由于:在本地高速缓冲的位置信息可告知数据位于何处,例如归因于以上特性(1);以及跟踪可具有与每一个高速缓冲行相关联的共享副本的一组节点,例如归因于以上特性(4)
●LLC被划分成LLC片,其中将数据单元分配到LLC片可自由地进行而不依赖于数据单元的地址,例如归因于以上特性(1)。将LLC片靠近节点放置且使用智能启发方式来增大节点将对其LLC中的数据单元进行存取的可能性。
●读取存取可在这种本地LLC中找到所请求的数据单元,例如,归因于以上特性(1);片无需查询目录,例如归因于以上特性(4)
由发明人进行的经验研究已表明,所提出的技术在将L1未命中的平均延迟平均缩减30%的同时将全局Noc消息的数目、在整个Noc中发送的数据量以及所使用的总能量在各种各样的应用中全部减少大于50%。
从前述各实施例可注意到各实施例的各种一般特征。这些特征可在一些实施例中一同使用,或者在其他实施例中以各种组合及子组合形式一同使用。举例来说,使用高速缓冲位置缓冲器(CLB)来跟踪多处理器高速缓冲层次结构中高速缓冲行的位置。高速缓冲层次结构可包括大小不同及/或存取时间不同的一个或多个高速缓冲。每一个高速缓冲可存储在关联路中存储的多个高速缓冲行,举例来说,所述关联路被划分成多个组,每个组包含多个路。尽管可使用标识高速缓冲行的地址来标识高速缓冲器内高速缓冲行应驻留的组,然而对高速缓冲行的路位置的标识无法在不进行搜索的条件下通过高速缓冲行的地址来确定。
根据一些实施例的CLB的一个任务是高效地找到存储具体的高速缓冲行的高速缓冲以及在所述高速缓冲级内存储具体的高速缓冲行的位置、存储所述高速缓冲行的节点或者是高效地确定所述高速缓冲行根本不驻留在高速缓冲层次结构中。在此上下文中,“高效地”可指使用较少的能量、更快速地运行、使用较少的存储空间、它们的一些组合、或其他有益结果。
CLB可根据一些实施例被构建为关联结构以存储多个高速缓冲表项(CTE)。每一个这种项存储例如由所述CTE标识的高速缓冲行群组的地址标签及位置信息。CLB可使用较小的且较快的CLB并由较大但较慢的CLB作为后备来以层次结构方式构建。可将不同的CLB级设计成使用虚拟地址或物理地址进行存取。
所请求高速缓冲行的位置是通过在CLB中进行查找来确定的,在确定所述位置之后,可在所确定的级及位置处对高速缓冲行进行存取而无需任何其他关联查找。此会消除对在高速缓冲层次结构中存储额外地址标签的要求。
预期存在以上实施例的各种变化及排列方式。举例来说,在一个实施例中,与CTE相关联的位置信息位的数目可因不同的CLB级而异,且在CLB级之间复制LI可为部分复制操作。在另一个实施例中,第一级CLB的功能集成有TLB的功能或者包含在TLB中常见的功能的一部分。在这种实施方式的实例中,CLB项可包含虚拟地址标签(VAT)、高速缓冲位置表(CLT)、存取许可编码、及物理地址变换的一些组合、以及在TLB实施方式中常见的其他元数据。此外,即使以上实例包含最多为两个高速缓冲级以及最多为两个CLB级,然而所属领域中的技术人员应理解,本文所述技术可被推广到应用于更多级高速缓冲及更多级CLB。并不要求高速缓冲级的数目与CLB级的数目相同。还应理解,末级CLB可溢出及填充到传统(主)存储器中的专用的区且由此充当CLB高速缓冲。
在一个实施例中,CTE的信息可包含与其相关联的高速缓冲行相关联的其他元数据,所述元数据包括但不限于关于相关联的高速缓冲行的存取模式及以往使用情况的信息、高速缓冲行状态、TLB中所正常存储的保护信息以及为产生物理地址而需要的物理地址部分。此数据中的一些数据可存储在区信息(RI)字段(例如,413及425)中。
实施例还可被表达为方法,所述方法的实例被作为方法800提供于图8所示流程图中。其中,在步骤802处,将数据单元存储在多处理器系统的节点中的专用高速缓冲中,其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲、及所述节点专用的至少一个高速缓冲位置缓冲器(CLB)。在步骤804处,在每一个CLB中存储位置信息值,每一位置信息值指示与相应的数据单元相关联的位置,其中在给定的CLB中存储的每一位置信息值指示所述位置是设置在与所述给定的CLB处于同一节点中的所述专用高速缓冲内的位置、是其他节点中的一个节点中的位置或者是主存储器中的位置。在步骤806处,使用高速缓冲相干性协议维持数据单元的值的相干性。高速缓冲相干性协议根据位置信息值各自的数据单元的移动来更新存储在CLB中的位置信息值。
根据在图14的流程图中示出的另一个方法实施例,一种方法1400包括:在步骤1402处,将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲在步骤1404处,使用高速缓冲相干性协议维持存储在专用高速缓冲及全局高速缓冲中的数据单元的值的相干性,所述高速缓冲相干性协议在存储数据单元的地点信息的目录中进行查找。位置信息将其中驻留有数据单元的位置标识为以下中的一者:节点、全局高速缓冲或存储器。如步骤1406所示。
根据图15中所示的另一个方法实施例,一种方法1500包括:在步骤1502处,将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲,节点是通过网络进行连接。在步骤1504处,通过分布式高速缓冲相干性协议维持存储在高速缓冲中的数据单元的值的相干性,所述分布式高速缓冲相干性协议在网络上发送相干性消息。步骤1506,阻止一些相干性消息在网络上发送,其中对于一相干性消息,如果其他相干性消息是针对与所述一相干性消息相同的地址区,则发送所述一相干性消息会激活所述阻止功能来阻止其他相干性消息(如步骤1508所示)。
可采用各种顺序来执行图8、图14及图15中所绘示的步骤且一些步骤可并行地或依序地执行。这些方法实施例、以上阐述的系统实施例及其他实施例可提供各种有益结果。举例来说,申请人已进行了详细的模拟研究,所述详细的模拟研究表明,与本文所述实施例相关联的高速缓冲构建方式与传统的高速缓冲系统相比可节省大量能量且还可得到实质上具有较短的存取时间的高速缓冲。
为清晰起见,本文中大部分说明大体描述了如何定位高速缓冲行以及如何向发出请求的CPU返回所述高速缓冲行的技术。所述说明未详细阐述其中对包含在高速缓冲行内的所请求字进行选择以及将所请求字返回到CPU的各种方式,此对所属领域中的技术人员来说将显而易见且将会不必要地使本发明模糊不清。
为清晰起见,本文中阐述对高速缓冲层次结构的数据进行处理的大部分说明阐述了独占型高速缓冲层次结构。所属领域中的技术人员将理解,所阐述的实施例可扩展到也涵盖包容性型存储器层次结构及非独占型存储器层次结构。
尽管以上是在特定示例性计算机架构的上下文中进行阐述,然而在计算机系统内部以及外部的许多其他背景中也存在这种高速缓冲,且前述实施例等同地适用于这种其他上下文。这种使用情况的实例是从慢的大容量存储装置(例如盘或闪存存储器)向较快且较小的大容量存储器(其可使用动态随机存取存储器来实施)中高速缓冲数据的虚拟存储器系统。在计算机系统中进行高速缓冲的其他实例包括但不限于盘高速缓冲、网页高速缓冲及名称高速缓冲。这些高速缓冲的组织及高速缓冲机制可与以上论述的高速缓冲的组织及高速缓冲机制不同,例如组的大小、组的构建方式及关联性等发生变化。不论高速缓冲机制本身的构建方式如何,本文所述实施例等同地适用于构建各种高速缓冲方案。
所公开的实施例阐述例如与各种高速缓冲层次结构相关联的系统及方法。应理解,此说明并不旨在限制本发明。相反,示例性实施例旨在涵盖包括在本发明的精神及范围中的替代、修改及等效形式。另外,在示例性实施例的详细说明中,阐述了各种具体细节来提供对本发明的全面理解。然而,所属领域中的技术人员将理解,无需这些具体细节也可实践各种实施例。
尽管在实施例中采用特定组合方式阐述了本实施例的特征及元件,然而每一个特征或元件可在不使用实施例的其他特征及元件的条件下单独使用或者采用具有或不具有本文所公开的其他特征及元件的各种组合来使用。本申请中提供的方法或流程图可在计算机程序、软件或者固件中实施,所述计算机程序、软件或者固件是以有形方式实施在计算机可读存储装置介质中以由通用计算机或处理器执行。
本书面说明使用所公开主题的实例来使所属领域中的任何技术人员都能够实践所公开的主题,包括制作及使用任何装置或系统以及执行任何所包含的方法。所述主题的可取得专利权的范围是由权利要求书界定,且可包括对于所属领域中的技术人员而言会联想到的其他实例。这些其他实例旨在处于权利要求书的范围内。

Claims (92)

1.一种多处理器系统,包括:
多个节点及至少一个存储器,
其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲及所述节点专用的至少一个高速缓冲位置缓冲器(CLB),
其中每一个高速缓冲位置缓冲器存储位置信息值,每一个位置信息值指示与相应的数据单元相关联的位置,
其中在给定的高速缓冲位置缓冲器中存储的每一位置信息值指示所述位置是设置在与所述给定的高速缓冲位置缓冲器处于同一节点中专用的所述高速缓冲内的位置、是其他节点中的一个节点中的位置或者是存储器中的位置,
其中所述数据单元的值通过高速缓冲相干性协议被保持为相干的,且所述高速缓冲相干性协议根据所述位置信息值各自的数据单元的移动来更新所述位置信息值。
2.根据权利要求1所述的多处理器系统,还包括:
至少一个全局高速缓冲,不驻留在所述节点中的任一个节点中,且其中所述位置信息值还能够标识所述至少一个全局高速缓冲内的位置。
3.根据权利要求1或2所述的多处理器系统,其中如果数据单元只将各自的位置信息值存储在专用于同一个节点的一个或多个高速缓冲位置缓冲器中,则所述相干性协议将数据单元的连续区分类为专用区。
4.根据权利要求3所述的多处理器系统,其中专用分类还指示只有所述同一个节点能够具有存储在所述同一个节点的至少一个专用高速缓冲中的区的所述数据单元中的任一个。
5.根据权利要求3或4所述的多处理器系统,其中所述同一个节点中的至少一个高速缓冲位置缓冲器项存储与指示为被分类为专用区的区相关联的信息。
6.根据权利要求3到5中任一项所述的多处理器系统,其中对于在节点中产生的针对具有所述节点中可用的地点信息的专用区的数据单元的写入请求,所述数据单元不被记录为在所述节点专用的所述高速缓冲中的任一个高速缓冲中具有写入许可,且所述数据单元被记录为在所述节点专用的所述高速缓冲中的至少一个高速缓冲中具有读取许可,所述节点能够对所述数据单元授予写入许可而不在所述节点外产生任何全局相干性请求。
7.根据权利要求3到6中任一项所述的多处理器系统,其中为从节点中逐出来自具有在所述节点中可用的地点信息的专用区的脏数据单元,能够在不需要与其他节点或与目录进行通信的情况下进行所述逐出。
8.根据权利要求1所述的多处理器系统,还包括至少一个全局目录,所述至少一个全局目录存储关于所述数据单元的位置信息,其中所述全局目录用于构建所述相干性协议,且其中所述节点能够向所述全局目录溢出位置信息以及从所述全局目录填充所述位置信息。
9.根据权利要求8所述的多处理器系统,其中数据单元的连续区具有与所述全局目录中所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识数据区。
10.根据权利要求1到9中任一项所述的多处理器系统,其中所述连续区具有相关联的信息,所述信息是关于哪些节点具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息。
11.根据权利要求10所述的多处理器系统,其中只有被指示为具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息的节点才能够具有存储在各自的专用高速缓冲中的相关联的所述数据单元的副本。
12.根据权利要求8到11中任一项所述的多处理器系统,其中处理从发出请求的节点发送到目录的对于数据单元的全局无效化请求涉及到以下步骤:
在所述目录中进行查找,以找到与所述数据单元及所述数据单元的区相关联的信息;
使所述数据单元在除所述发出请求的节点之外的被指示为在各自的专用高速缓冲中可能具有副本的节点中的副本无效化;以及
更新与被指示为具有所述数据单元的位置信息的节点相关联的专用的所述高速缓冲位置缓冲器中的位置信息。
13.根据权利要求12所述的多处理器系统,其中所述无效化步骤及所述更新步骤是作为单个操作同时进行的。
14.根据权利要求8到13中任一项所述的多处理器系统,其中处理从节点向新位置逐出数据单元涉及以下步骤:
将所述数据单元的值复制到所述新位置;以及
更新与存储所述数据单元的位置信息的节点相关联的专用的所述高速缓冲位置缓冲器中的所述数据单元的位置信息。
15.根据权利要求8到14中任一项所述的多处理器系统,其中目录构建阻止功能,所述阻止功能阻止所述目录服务于某些相干性请求。
16.根据权利要求15所述的多处理器系统,其中对于相干性请求,如果其他相干性请求是针对与所述相干性请求相同的地址范围,则所述相干性请求能够激活所述阻止功能阻止所述其他相干性请求。
17.根据权利要求16所述的多处理器系统,其中完成所述相干性请求会将所述阻止功能去激活。
18.根据权利要求16所述的多处理器系统,其中在所述相干性请求中规定的动作会将所述阻止功能去激活。
19.根据权利要求8到18中任一项所述的多处理器系统,其中全部存储有位置信息的专用的所述高速缓冲位置缓冲器及目录形成单独的包容性高速缓冲层次结构,且其中存储所述数据单元的本地高速缓冲及全局高速缓冲形成非包容性层次结构。
20.一种多处理器系统,包括:
多个节点、多于一个全局高速缓冲及至少一个存储器,
其中每一个节点包含至少一个处理器(CPU)及所述节点专用的至少一个高速缓冲,
其中存储在所述高速缓冲中的数据单元的值通过高速缓冲相干性协议保持为相干的,所述高速缓冲相干性协议在存储所述数据单元的地点信息的目录中进行查找;
其中所述位置信息将其中驻留有所述数据单元的位置标识为以下中的一者:节点、全局高速缓冲或存储器。
21.根据权利要求20所述的多处理器系统,其中标识全局高速缓冲的所述位置信息还将所述高速缓冲内的位置标识为所述数据单元的位置。
22.根据权利要求20或21所述的多处理器系统,还包括:
多个全局高速缓冲,在所述多个全局高速缓冲中的每一个全局高速缓冲中,多于一个节点能够为所请求的数据单元分配空间,其中一个或多个节点使所述多个全局高速缓冲中的一个全局高速缓冲放置得比其他全局高速缓冲更靠近所述一个或多个节点,且其中数据单元放置向全局高速缓冲的映射是与所述数据单元的地址无关地进行。
23.根据权利要求20到22中任一项所述的多处理器系统,还包括与所述全局高速缓冲相关联的放置计数器,所述放置计数器用于确定应在哪一全局高速缓冲中分配数据单元。
24.根据权利要求20到23中任一项所述的多处理器系统,其中所述全局高速缓冲能够被配置成使所有所述节点存取存储在一个全局高速缓冲中的数据单元的一个公共副本,或者可动态地决定在特定的全局高速缓冲中创建数据单元的额外的复制副本。
25.根据权利要求24所述的多处理器系统,其中如果第二高速缓冲的位置比第一全局高速缓冲更靠近产生由命中所述第一全局高速缓冲的指令提取引起的读取存取的所述节点,则所述读取存取将使被存取的所述数据单元在所述第二全局高速缓冲中被复制。
26.根据权利要求24或25所述的多处理器系统,其中在节点中发出的要存取远离所述节点的全局高速缓冲中的热数据的请求将使被存取的所述数据单元在更靠近所述节点的全局高速缓冲中被复制。
27.根据权利要求26所述的多处理器系统,其中热数据是所述多处理器系统以超过阈值的频率进行存取的数据。
28.根据权利要求26所述的多处理器系统,其中热数据是在远程全局高速缓冲中被存取的数据,且所述热数据被确定为其高速缓冲组的最新使用(MRU)的数据。
29.根据权利要求20到28中任一项所述的多处理器系统,其中数据单元的连续区具有与所述目录中所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识所述区。
30.根据权利要求29所述的多处理器系统,其中所述数据单元的连续区具有与一组节点相关的关联信息,所述一组节点当前能够存取所述区的任一数据单元,且对所述区的数据单元的写入请求将使得向所述一组节点发送无效命令。
31.根据权利要求20到28中任一项所述的多处理器系统,
其中每一个节点还包含所述节点专用的至少一个高速缓冲位置缓冲器(CLB),
其中每一个高速缓冲位置缓冲器存储位置信息值,每一个位置信息值指示与相应的数据单元相关联的位置,
其中在给定的高速缓冲位置缓冲器中存储的每一位置信息值指示所述位置是设置在与所述给定的高速缓冲位置缓冲器处于同一节点中的专用的所述高速缓冲内的位置、是其他节点中的一个节点中的位置、是存储器中的位置或者是全局高速缓冲中的位置。
32.根据权利要求31所述的多处理器系统,其中数据单元的连续区在全局目录中具有与所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识数据区。
33.根据权利要求32所述的多处理器系统,其中所述连续区具有相关联的信息,所述信息是关于哪些节点具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息。
34.根据权利要求33所述的多处理器系统,其中只有被指示为具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息的节点能够具有存储在各自的专用高速缓冲中的相关联的所述数据单元的副本。
35.一种多处理器系统,包括:
多个节点及至少一个存储器,
其中每一个节点包含至少一个处理器(CPU)、及所述节点专用的至少一个高速缓冲,
网络,连接所述节点,
其中存储在所述高速缓冲中的数据单元的值通过分布式高速缓冲相干性协议而保持为相干的,所述分布式高速缓冲相干性协议在所述网络上发送相干性消息,
其中所述网络构建阻止功能,所述阻止功能阻止在所述网络上发送一些相干性消息,
其中对于相干性消息,如果其他相干性消息是针对与所述相干性消息相同的地址区,则发送所述相干性消息会激活所述阻止功能来阻止所述其他相干性消息。
36.根据权利要求35所述的多处理器系统,其中所述相干性消息是广播类型的且被发送到多个节点。
37.根据权利要求35或36所述的多处理器系统,其中在所述阻止功能能够被解除阻止之前,接收到所述相干性消息的一个或多个节点各自通知所述网络。
38.根据权利要求35到37中任一项所述的多处理器系统,其中当已由其他相干性消息的发送方发起的所述阻止功能被解除阻止时,所述发送方将得到通知。
39.根据权利要求35到38中任一项所述的多处理器系统,还包括:
每一节点专用的至少一个高速缓冲位置缓冲器(CLB),其中每一个高速缓冲位置缓冲器将与数据单元相关联的指示包含所述数据单元的副本的其他节点的身份的信息存储在所述其他节点本地的高速缓冲存储器中。
40.根据权利要求35到38中任一项所述的多处理器系统,还包括:
每一节点专用的至少一个高速缓冲位置缓冲器(CLB),其中每一个高速缓冲位置缓冲器存储与数据单元相关联的信息,所述信息指示包含具有所述数据单元的位置信息的至少一个高速缓冲位置缓冲器的其他节点的身份。
41.根据权利要求39或40所述的多处理器系统,其中所述高速缓冲位置缓冲器存储指示与数据单元相关联的位置的位置信息,其中每一位置信息能够将位置标识为位于与所述高速缓冲位置缓冲器处于同一节点中的专用高速缓冲内的位置、位于其他节点中的一个节点中或者是位于存储器中。
42.根据权利要求39到41中任一项所述的多处理器系统,其中如果数据单元只将各自的位置信息值存储在专用于同一个节点中的一个或多个高速缓冲位置缓冲器中,则所述相干性协议将数据单元的连续区分类为专用区。
43.根据权利要求42所述的多处理器系统,其中专用分类还指示只有所述同一个节点能够具有存储在所述同一个节点的至少一个专用高速缓冲中的区的所述数据单元中的任一个。
44.根据权利要求42或43所述的多处理器系统,其中所述同一个节点中的至少一个高速缓冲位置缓冲器项存储与指示为被分类为专用区的区相关联的信息。
45.根据权利要求42到44中任一项所述的多处理器系统,其中对于在节点中产生的针对具有所述节点中可用的地点信息的专用区的数据单元的写入请求,所述数据单元不被记录为在所述节点专用的所述高速缓冲中的任一个中具有写入许可,且所述数据单元被记录为在所述节点专用的所述高速缓冲中的至少一个高速缓冲中具有读取许可,所述节点能够对所述数据单元授予写入许可而不在所述节点外产生任何全局相干性请求。
46.根据权利要求42到45中任一项所述的多处理器系统,其中为从具有所述节点中可用的地点信息的专用区逐出脏数据单元,能够在不需要与其他节点进行通信的情况下进行所述逐出。
47.一种方法,包括:
将数据单元存储在多处理器系统的节点中的专用高速缓冲中,其中每一个节点包含至少一个处理器(CPU)、所述节点专用的至少一个高速缓冲及所述节点专用的至少一个高速缓冲位置缓冲器(CLB);
在每一个高速缓冲位置缓冲器中存储位置信息值,每一位置信息值指示与相应的数据单元相关联的位置,其中存储在给定的高速缓冲位置缓冲器中的每一位置信息值指示所述位置是设置在与所述给定的高速缓冲位置缓冲器处于同一节点中的所述专用高速缓冲内的位置、是其他节点中的一个节点中的位置或者是主存储器中的位置;
使用高速缓冲相干性协议维持所述数据单元的值的相干性;且
所述高速缓冲相干性协议根据所述位置信息值各自的数据单元的移动来更新存储在所述高速缓冲位置缓冲器中的所述位置信息值。
48.根据权利要求47所述的方法,还包括:
将数据单元存储在至少一个全局高速缓冲中,所述至少一个全局高速缓冲不驻留在所述节点中的任一个节点中,且其中所述位置信息值还能够标识所述至少一个全局高速缓冲内的位置。
49.根据权利要求47或48所述的方法,其中如果数据单元只将各自的位置信息值存储在专用于同一个节点的一个或多个高速缓冲位置缓冲器中,则所述相干性协议将数据单元的连续区分类为专用区。
50.根据权利要求49所述的方法,其中专用分类还指示只有所述同一个节点能够具有存储在所述同一个节点的至少一个专用高速缓冲中的区的所述数据单元中的任一个。
51.根据权利要求49或50所述的方法,其中所述同一个节点中的至少一个高速缓冲位置缓冲器项存储与指示为被分类为专用区的区相关联的信息。
52.根据权利要求49到51中任一项所述的方法,其中对于在节点中产生的针对具有所述节点中可用的地点信息的专用区的数据单元的写入请求,所述数据单元不被记录为在所述节点专用的所述高速缓冲中的任一个高速缓冲中具有写入许可,且所述数据单元被记录为在所述节点专用的所述高速缓冲中的至少一个高速缓冲中具有读取许可,所述节点能够对所述数据单元授予写入许可而不在所述节点外产生任何全局相干性请求。
53.根据权利要求49到52中任一项所述的方法,其中为从节点中逐出来自具有在所述节点中可用的地点信息的专用区的脏数据单元,能够在不需要与其他节点或与目录进行通信的情况下进行所述逐出。
54.根据权利要求47所述的方法,还包括:
在至少一个全局目录中存储关于所述数据单元的位置信息,其中所述全局目录用于构建所述相干性协议,且其中所述节点能够向所述全局目录溢出位置信息以及从所述全局目录填充所述位置信息。
55.根据权利要求54所述的方法,其中连续的数据单元的连续区具有与所述全局目录中所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识数据区。
56.根据权利要求47到55中任一项所述的方法,其中所述连续区具有相关联的信息,所述信息是关于哪些节点具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息。
57.根据权利要求56所述的方法,其中只有被指示为具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息的节点才能够具有存储在各自的专用高速缓冲中的所述相关联的数据单元的副本。
58.根据权利要求54到57中任一项所述的方法,其中处理从发出请求的节点发送到目录的对于数据单元的全局无效化请求涉及到以下步骤:
在所述目录中进行查找,以找到与所述数据单元及所述数据单元的区相关联的信息;
使所述数据单元在除所述发出请求的节点之外的被指示为在各自的专用高速缓冲中可能具有副本的节点中的副本无效化;以及
更新与被指示为具有所述数据单元的位置信息的节点相关联的专用的所述高速缓冲位置缓冲器中的位置信息。
59.根据权利要求58所述的方法,其中所述无效化步骤及所述更新步骤是作为单个操作同时进行的。
60.根据权利要求54到59中任一项所述的方法,其中处理从节点向新位置逐出数据单元涉及以下步骤:
将所述数据单元的值复制到所述新位置;以及
更新与存储所述数据单元的位置信息的节点相关联的专用的所述高速缓冲位置缓冲器中的所述数据单元的位置信息。
61.根据权利要求54到60中任一项所述的方法,其中目录构建阻止功能,所述阻止功能阻止所述目录服务于某些相干性请求。
62.根据权利要求61所述的方法,其中对于相干性请求,如果其他相干性请求是针对与所述相干性请求相同的地址范围,则所述相干性请求能够激活所述阻止功能阻止所述其他相干性请求。
63.根据权利要求62所述的方法,其中完成所述相干性请求会将所述阻止功能去激活。
64.根据权利要求62所述的方法,其中在所述相干性请求中规定的动作会将所述阻止功能去激活。
65.根据权利要求54到64中任一项所述的方法,其中全部存储有位置信息的专用的所述高速缓冲位置缓冲器及目录形成单独的包容性高速缓冲层次结构,且其中存储所述数据单元的本地高速缓冲及全局高速缓冲形成非包容性层次结构。
66.一种方法,包括:
将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)及所述节点专用的至少一个高速缓冲;
使用高速缓冲相干性协议维持存储在所述专用高速缓冲及所述全局高速缓冲中的数据单元的值的相干性,所述高速缓冲相干性协议在存储所述数据单元的地点信息的目录中进行查找;且
其中所述位置信息将其中驻留有所述数据单元的位置标识为以下中的一者:节点、全局高速缓冲或存储器。
67.根据权利要求66所述的方法,其中标识全局高速缓冲的所述位置信息还将所述高速缓冲内的位置标识为所述数据单元的位置。
68.根据权利要求66或67所述的方法,还包括:
将数据单元存储在多个所述全局高速缓冲中,在所述多个全局高速缓冲中的每一个全局高速缓冲中,多于一个节点能够为所请求的数据单元分配空间,其中一个或多个节点使所述多个全局高速缓冲中的一个全局高速缓冲放置得比其他全局高速缓冲更靠近所述一个或多个节点,且其中数据单元放置向全局高速缓冲的映射是与所述数据单元的地址无关地进行。
69.根据权利要求66到68中任一项所述的方法,还包括:使用与所述全局高速缓冲相关联的放置计数器来确定应在哪一全局高速缓冲中分配数据单元。
70.根据权利要求66到69中任一项所述的方法,其中所述全局高速缓冲能够被配置成使所有所述节点存取存储在一个全局高速缓冲中的数据单元的一个公共副本,或者可动态地决定在特定的全局高速缓冲中创建数据单元的额外的复制副本。
71.根据权利要求70所述的方法,其中如果第二高速缓冲的位置比第一全局高速缓冲更靠近产生由命中所述第一全局高速缓冲的指令提取引起的读取存取的所述节点,则所述读取存取将使被存取的所述数据单元在所述第二全局高速缓冲中被复制。
72.根据权利要求70或71所述的方法,其中在节点中发出的要存取远离所述节点的全局高速缓冲中的热数据的请求将使被存取的所述数据单元在更靠近所述节点的全局高速缓冲中被复制。
73.根据权利要求72所述的方法,其中热数据是所述方法以超过阈值的频率进行存取的数据。
74.根据权利要求72所述的方法,其中热数据是在远程全局高速缓冲中被存取的数据,且所述热数据被确定为其高速缓冲组的最新使用(MRU)的数据。
75.根据权利要求66到74中任一项所述的方法,其中数据单元的连续区具有与所述目录中所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识所述区。
76.根据权利要求75所述的方法,其中所述数据单元的连续区具有与一组节点相关的关联信息,所述一组节点当前能够存取所述区的任一数据单元,且对所述区的数据单元的写入请求将使得向所述一组节点发送无效命令。
77.根据权利要求66到74中任一项所述的方法,
其中每一个节点还包含所述节点专用的至少一个高速缓冲位置缓冲器(CLB),
其中每一个高速缓冲位置缓冲器存储位置信息值,每一个位置信息值指示与相应的数据单元相关联的位置,
其中在给定的高速缓冲位置缓冲器中存储的每一位置信息值指示所述位置是设置在与所述给定的高速缓冲位置缓冲器处于同一节点中的所述专用高速缓冲内的位置、是其他节点中的一个节点中的位置、是存储器中的位置或者是全局高速缓冲中的位置。
78.根据权利要求77所述的方法,其中数据单元的连续区具有与全局目录中所述数据单元的对应的位置信息相关联的地址标签,且所述地址标签标识数据区。
79.根据权利要求78所述的方法,其中所述连续区具有相关联的信息,所述信息是关于哪些节点具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息。
80.根据权利要求79所述的方法,其中只有被指示为具有与存储在所述节点专用的高速缓冲位置缓冲器中的所述连续区相关联的所述数据单元的位置信息的节点能够具有存储在各自的专用高速缓冲中的所述相关联的数据单元的副本。
81.一种方法,包括:
将数据单元存储在多处理器系统的节点中的专用高速缓冲中以及存储在全局高速缓冲及存储器中,其中每一个节点包含至少一个处理器(CPU)及所述节点专用的至少一个高速缓冲;
所述节点通过网络进行连接;
通过分布式高速缓冲相干性协议维持存储在所述高速缓冲中的数据单元的值的相干性,所述分布式高速缓冲相干性协议在所述网络上发送相干性消息,
阻止一些相干性消息在所述网络上发送,且
其中对于相干性消息,如果其他相干性消息是针对与所述相干性消息相同的地址区,则发送所述相干性消息会激活所述阻止功能来阻止所述其他相干性消息。
82.根据权利要求81所述的方法,其中所述相干性消息是广播类型的且被发送到多个节点。
83.根据权利要求81或82所述的方法,其中在所述阻止功能能够被解除阻止之前,接收到所述相干性消息的一个或多个节点各自通知所述网络。
84.根据权利要求81到83中任一项所述的方法,其中当已由其他相干性消息的发送方发起的所述阻止功能被解除阻止时,所述发送方将得到通知。
85.根据权利要求81到84中任一项所述的方法,还包括:
每一节点专用的至少一个高速缓冲位置缓冲器(CLB),其中每一个高速缓冲位置缓冲器将与数据单元相关联的指示包含所述数据单元的副本的其他节点的身份的信息存储在所述其他节点本地的高速缓冲中。
86.根据权利要求81到84中任一项所述的方法,还包括:
每一节点专用的至少一个高速缓冲位置缓冲器(CLB),其中每一个高速缓冲位置缓冲器存储与数据单元相关联的信息,所述信息指示包含具有所述数据单元的位置信息的至少一个高速缓冲位置缓冲器的其他节点的身份。
87.根据权利要求85或86所述的方法,其中所述高速缓冲位置缓冲器存储指示与数据单元相关联的位置的位置信息,其中每一位置信息能够将位置标识为位于与所述位置信息的所述高速缓冲位置缓冲器处于同一节点中的专用高速缓冲内的位置、位于其他节点中的一个节点中或者是位于存储器中。
88.根据权利要求85到87中任一项所述的方法,其中如果数据单元只将各自的位置信息值存储在专用于同一个节点的一个或多个高速缓冲位置缓冲器中,则所述相干性协议将数据单元的连续区分类为专用区。
89.根据权利要求88所述的方法,其中专用分类还指示只有所述同一个节点能够具有存储在所述同一个节点的至少一个专用高速缓冲中的区的所述数据单元中的任一个。
90.根据权利要求88或89所述的方法,其中所述同一个节点中的至少一个高速缓冲位置缓冲器项存储与指示为被被分类为专用区的区相关联的信息。
91.根据权利要求88到90中任一项所述的方法,其中对于在节点中产生的针对具有所述节点中可用的地点信息的专用区的数据单元的写入请求,所述数据单元不被记录为在所述节点专用的所述高速缓冲中的任一个高速缓冲中具有写入许可,且所述数据单元被记录为在所述节点专用的所述高速缓冲中的至少一个高速缓冲中具有读取许可,所述节点能够对所述数据单元授予写入许可而不在所述节点外产生任何全局相干性请求。
92.根据权利要求88到91中任一项所述的方法,其中为从具有所述节点中可用的地点信息的专用区逐出脏数据单元,能够在不需要与其他节点进行通信的情况下进行所述逐出。
CN201680077811.9A 2015-11-04 2016-11-04 多处理器系统及其方法 Active CN108475234B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562250653P 2015-11-04 2015-11-04
US62/250,653 2015-11-04
US201562258692P 2015-11-23 2015-11-23
US62/258,692 2015-11-23
PCT/IB2016/056655 WO2017077502A1 (en) 2015-11-04 2016-11-04 Systems and methods for implementing coherent memory in a multiprocessor system

Publications (2)

Publication Number Publication Date
CN108475234A true CN108475234A (zh) 2018-08-31
CN108475234B CN108475234B (zh) 2022-07-12

Family

ID=57286762

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680077811.9A Active CN108475234B (zh) 2015-11-04 2016-11-04 多处理器系统及其方法

Country Status (6)

Country Link
US (3) US10754777B2 (zh)
EP (1) EP3371707B1 (zh)
KR (1) KR20180078253A (zh)
CN (1) CN108475234B (zh)
SG (1) SG11201803730TA (zh)
WO (1) WO2017077502A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108804349A (zh) * 2017-05-05 2018-11-13 三星电子株式会社 多处理器系统,数据管理方法和非暂时性计算机可读媒体

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2560336B (en) * 2017-03-07 2020-05-06 Imagination Tech Ltd Address generators for verifying integrated circuit hardware designs for cache memory
TWI795470B (zh) * 2017-11-20 2023-03-11 南韓商三星電子股份有限公司 資料管理方法、多處理器系統和非暫態計算機可讀儲存媒體
KR102151180B1 (ko) * 2017-11-20 2020-09-02 삼성전자주식회사 효율적인 가상 캐시 구현을 위한 시스템 및 방법
KR102157354B1 (ko) 2017-11-20 2020-09-17 삼성전자 주식회사 효율적으로 압축된 캐시 라인의 저장 및 처리를 위한 시스템 및 방법
KR102079868B1 (ko) * 2018-07-26 2020-02-20 고려대학교 산학협력단 멀티코어 기반의 단말 장치에 적용되는 잠금 패턴의 보안 강도를 측정하는 장치 및 방법
EP3895026A4 (en) * 2018-12-13 2022-07-13 Telefonaktiebolaget Lm Ericsson (Publ) MEMORY HANDLING PROCEDURES AND NODES
US11288199B2 (en) 2019-02-28 2022-03-29 Micron Technology, Inc. Separate read-only cache and write-read cache in a memory sub-system
US10970222B2 (en) 2019-02-28 2021-04-06 Micron Technology, Inc. Eviction of a cache line based on a modification of a sector of the cache line
US10908821B2 (en) 2019-02-28 2021-02-02 Micron Technology, Inc. Use of outstanding command queues for separate read-only cache and write-read cache in a memory sub-system
US11106609B2 (en) 2019-02-28 2021-08-31 Micron Technology, Inc. Priority scheduling in queues to access cache data in a memory sub-system
US11782835B2 (en) * 2020-11-30 2023-10-10 Electronics And Telecommunications Research Institute Host apparatus, heterogeneous system architecture device, and heterogeneous system based on unified virtual memory
US20230325316A1 (en) * 2022-04-11 2023-10-12 Arteris, Inc. System and method to enter and exit a cache coherent interconnect

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5265232A (en) * 1991-04-03 1993-11-23 International Business Machines Corporation Coherence control by data invalidation in selected processor caches without broadcasting to processor caches not having the data
CN1264080A (zh) * 1998-12-17 2000-08-23 国际商业机器公司 具有共享干预支持的非均匀存贮器存取(numa)数据处理系统
CN1264873A (zh) * 1999-02-26 2000-08-30 国际商业机器公司 用于提供逐出协议的方法和系统
CN1573690A (zh) * 2003-06-23 2005-02-02 微软公司 无效化高速缓存条目的一般依存关系模型
US20060143384A1 (en) * 2004-12-27 2006-06-29 Hughes Christopher J System and method for non-uniform cache in a multi-core processor
US20090198897A1 (en) * 2008-02-01 2009-08-06 Arimilli Ravi K Cache management during asynchronous memory move operations
CN101770429A (zh) * 2008-12-30 2010-07-07 英特尔公司 用于在硬件中保存有损失的元数据的元物理地址空间
US20120137075A1 (en) * 2009-06-09 2012-05-31 Hyperion Core, Inc. System and Method for a Cache in a Multi-Core Processor
US20130182707A1 (en) * 2012-01-18 2013-07-18 International Business Machines Corporation Managing a global forwarding table in a distributed switch
CN103544054A (zh) * 2007-12-30 2014-01-29 英特尔公司 用于在事务性存储器系统中实现强原子性的方法、装置和系统
CN103620547A (zh) * 2011-01-27 2014-03-05 索夫特机械公司 使用处理器的转换后备缓冲器的基于客户指令到本机指令范围的映射
US20140351518A1 (en) * 2013-05-24 2014-11-27 Hewlett-Packard Development Company, L.P. Multi-level cache tracking table
EP2869208A1 (en) * 2012-12-17 2015-05-06 Inspur Electronic Information Industry Co., Ltd. Method for constructing multiprocessor system with node having a plurality of cache uniformity domains
US20150143047A1 (en) * 2013-11-21 2015-05-21 Green Cache AB Systems and methods for direct data access in multi-level cache memory hierarchies

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6560681B1 (en) * 1998-05-08 2003-05-06 Fujitsu Limited Split sparse directory for a distributed shared memory multiprocessor system
US7454576B2 (en) 2004-12-27 2008-11-18 Intel Corporation System and method for cache coherency in a cache with different cache location lengths
US9026742B2 (en) * 2007-12-21 2015-05-05 Freescale Semiconductor, Inc. System and method for processing potentially self-inconsistent memory transactions
US8812796B2 (en) 2009-06-26 2014-08-19 Microsoft Corporation Private memory regions and coherence optimizations
JP2016004461A (ja) * 2014-06-18 2016-01-12 富士通株式会社 情報処理装置、入出力制御装置および情報処理装置の制御方法
US10437479B2 (en) * 2014-08-19 2019-10-08 Samsung Electronics Co., Ltd. Unified addressing and hierarchical heterogeneous storage and memory
US10146690B2 (en) * 2016-06-13 2018-12-04 Intel Corporation Synchronization logic for memory requests
US10579527B2 (en) * 2018-01-17 2020-03-03 International Business Machines Corporation Remote node broadcast of requests in a multinode data processing system

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5265232A (en) * 1991-04-03 1993-11-23 International Business Machines Corporation Coherence control by data invalidation in selected processor caches without broadcasting to processor caches not having the data
CN1264080A (zh) * 1998-12-17 2000-08-23 国际商业机器公司 具有共享干预支持的非均匀存贮器存取(numa)数据处理系统
CN1264873A (zh) * 1999-02-26 2000-08-30 国际商业机器公司 用于提供逐出协议的方法和系统
CN1573690A (zh) * 2003-06-23 2005-02-02 微软公司 无效化高速缓存条目的一般依存关系模型
US20060143384A1 (en) * 2004-12-27 2006-06-29 Hughes Christopher J System and method for non-uniform cache in a multi-core processor
CN103544054A (zh) * 2007-12-30 2014-01-29 英特尔公司 用于在事务性存储器系统中实现强原子性的方法、装置和系统
US20090198897A1 (en) * 2008-02-01 2009-08-06 Arimilli Ravi K Cache management during asynchronous memory move operations
CN101770429A (zh) * 2008-12-30 2010-07-07 英特尔公司 用于在硬件中保存有损失的元数据的元物理地址空间
US20120137075A1 (en) * 2009-06-09 2012-05-31 Hyperion Core, Inc. System and Method for a Cache in a Multi-Core Processor
CN103620547A (zh) * 2011-01-27 2014-03-05 索夫特机械公司 使用处理器的转换后备缓冲器的基于客户指令到本机指令范围的映射
US20130182707A1 (en) * 2012-01-18 2013-07-18 International Business Machines Corporation Managing a global forwarding table in a distributed switch
EP2869208A1 (en) * 2012-12-17 2015-05-06 Inspur Electronic Information Industry Co., Ltd. Method for constructing multiprocessor system with node having a plurality of cache uniformity domains
US20140351518A1 (en) * 2013-05-24 2014-11-27 Hewlett-Packard Development Company, L.P. Multi-level cache tracking table
US20150143047A1 (en) * 2013-11-21 2015-05-21 Green Cache AB Systems and methods for direct data access in multi-level cache memory hierarchies

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MAMATA DALUI等: "Directory based cache coherence verification logic in CMPs cache system", 《MES "13: PROCEEDINGS OF THE FIRST INTERNATIONAL WORKSHOP ON MANY-CORE EMBEDDED SYSTEMSJUNE》, 24 June 2013 (2013-06-24), pages 33 - 40 *
汪东: "异构多核DSP数据流前瞻关键技术研究", 《中国博士学位论文全文数据库(电子期刊)》, no. 7, 15 July 2009 (2009-07-15), pages 137 - 16 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108804349A (zh) * 2017-05-05 2018-11-13 三星电子株式会社 多处理器系统,数据管理方法和非暂时性计算机可读媒体

Also Published As

Publication number Publication date
EP3371707B1 (en) 2021-10-27
US10754777B2 (en) 2020-08-25
US11615026B2 (en) 2023-03-28
EP3371707A1 (en) 2018-09-12
US20220156191A1 (en) 2022-05-19
US11237969B2 (en) 2022-02-01
KR20180078253A (ko) 2018-07-09
US20180329819A1 (en) 2018-11-15
WO2017077502A1 (en) 2017-05-11
CN108475234B (zh) 2022-07-12
SG11201803730TA (en) 2018-06-28
US20200364144A1 (en) 2020-11-19

Similar Documents

Publication Publication Date Title
CN108475234A (zh) 在多处理器系统中构建相干存储器的系统及方法
CN109815163A (zh) 基于预测的高效高速缓存行处理的系统和方法
CN109815165A (zh) 用于存储和处理高效压缩高速缓存行的系统和方法
US20150347298A1 (en) Tracking alternative cacheline placement locations in a cache hierarchy
TWI768039B (zh) 多處理器系統、資料管理方法及非暫時性電腦可讀媒體
CN1979452A (zh) 用于短暂高速缓存存储的方法和处理器
CN109815167A (zh) 用于高效虚拟标记的高速缓存实现的系统和方法
US20160210232A1 (en) Cache coherence protocol
US6625694B2 (en) System and method for allocating a directory entry for use in multiprocessor-node data processing systems
CN109815168A (zh) 用于少标记缓冲器实现的系统和方法
CN109478164A (zh) 用于存储用于高速缓存条目传输的高速缓存位置信息的系统和方法
CN106484525B (zh) 嵌入式系统
CN102117262B (zh) 用于多核处理器的Cache的主动复制方法及系统
CN103294613B (zh) 存储器的访问方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant