CN108256182A - 一种动态可重构fpga的布局方法 - Google Patents

一种动态可重构fpga的布局方法 Download PDF

Info

Publication number
CN108256182A
CN108256182A CN201810002611.8A CN201810002611A CN108256182A CN 108256182 A CN108256182 A CN 108256182A CN 201810002611 A CN201810002611 A CN 201810002611A CN 108256182 A CN108256182 A CN 108256182A
Authority
CN
China
Prior art keywords
task
fpga
resource
dynamic reconfigurable
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810002611.8A
Other languages
English (en)
Other versions
CN108256182B (zh
Inventor
伍卫国
秦朝楠
赵东方
王今雨
王倩
徐轩
徐一轩
李桢华
崔舜�
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xian Jiaotong University
Original Assignee
Xian Jiaotong University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xian Jiaotong University filed Critical Xian Jiaotong University
Priority to CN201810002611.8A priority Critical patent/CN108256182B/zh
Publication of CN108256182A publication Critical patent/CN108256182A/zh
Application granted granted Critical
Publication of CN108256182B publication Critical patent/CN108256182B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种动态可重构FPGA的布局方法,构建FPGA动态可重构系统的计算资源,采用位示图法对FPGA的计算资源进行管理,建立单个硬件任务Tn的任务模型,在FPGA在线布局过程中,利用FF Reshaped‑Task‑Model调度模型对任务模型进行变形,完成布局。本发明在FPGA在线布局的过程中引入任务模型可变的策略,在首次布局失败的情况下,对在线任务的形状进行改变,并重新调度布局算法,由于任务的形状可以变化,增加了任务的灵活性,提高了布局时任务的可选择性,从而提高了任务布局成功率,提高了FPGA资源的利用率。

Description

一种动态可重构FPGA的布局方法
技术领域
本发明属于可重构计算技术领域,具体涉及一种FPGA(Field-ProgrammableGate Array)现场可编程门阵列的在线布局方法。
背景技术
在大数据的时代,数据量呈现出指数级爆炸式的增长,也就要求对数据进行更快速、更复杂的处理。FPGA有着更广泛的应用领域,主要包括;5G无线通讯、雷达和航天、云计算、人工智能、智慧城市和无人驾驶技术等。这些领域都面临着数据量骤增和计算更复杂度的挑战。FPGA在解决这类数据加速问题上有着无可比拟的优势。据半导体行业协会SIA发布的最新数据称,2017年8月全球半导体销售额达到350亿美元,创月度销售额历史新高,增速高达24%。据世界半导体贸易统计组织WSTS发布的最新报告预测,2017年全球半导体销售额有望达到3970亿美元。在2016全球3400亿美元的半导体销售额中,逻辑电路产品占比27%,高达915亿美元。
工业界也在做这方面的努力,亚马逊推出基于FPGA的云服务AWS之后,腾讯云、阿里云、百度云等也都在着力发展自己基于FPGA的云服务。微软使用FPGA实现了机器翻译,并将FPGA应用在Bing的搜索加速中。据英特尔判断,2020年“CPU+FPGA”异构计算或将占据云计算中心约1/3的市场份额。
FPGA开发是自顶向下的,通过EDA和编程工具实现这个过程,主要流程包括能定义/器件选型、设计输入、功能仿真、综合优化、综合后仿真、实现与布局布线、布线后仿真、板级仿真以及芯片编程与调试等步骤。布局布线是利用FPGA提供的计算资源逻辑查找表Look-up Table(LUT)来实现功能模块的逻辑映射,决定逻辑的最佳布局、根据布局方式来确定计算节点之间的布线,生成相应的配置文件。布局将逻辑网表中的硬件原语和底层单元合理地映射到芯片内部的固有硬件结构上,在速度最优和面积最优之间进行抉择。
动态可重构系统的在线任务调度和布局算法,主要包括三个方面,空闲资源的管理、按照限制条件实现对任务的调度和布局、任务完成后对资源的回收。对于可重构系统的任务调度的问题的研究其本质是个装箱问题,也就是个NP问题。
现在主流的在线任务调度算法主要有FF(First in first out)调度算法和BF(Best fit)调度算法。这两种调度算法的模型都是单一任务模型,如果某一任务在t时刻布局失败,该任务加入的等待队列中,直到有运行的任务完成后释放掉FPGA资源和,该任务才能重新调度,而等待期间FPGA的资源可能是能够满足该任务的需求的,该任务有多任务模型就可以提高任务在t时刻的布局成功率,当任务集中有任务可以提前布局,整个任务集的布局成功率和任务周转时间都可以得到显著改善。
发明内容
本发明所要解决的技术问题在于针对上述现有技术中的不足,提供一种动态可重构FPGA的布局方法,用于解决单一模型布局的问题。
本发明采用以下技术方案:
一种动态可重构FPGA的布局方法,构建FPGA动态可重构系统的计算资源,采用位示图法对FPGA的计算资源进行管理,建立单个硬件任务Tn的任务模型,在FPGA在线布局过程中,利用Reshaped-Task-Model调度模型对任务模型进行变形,完成布局。
具体的,FPGA资源表示如下:
其中,n表示FPGA计算资源分配给任务n,0表示资源位分配。
进一步的,FPGA动态可重构系统的计算资源为FPGA(W,H),W表示可重构资源的宽,H表示可重构资源的高,W×H表示可重构资源的大小。
具体的,建立Reshaped-Task-Model调度模型具体包括以下步骤:
S201、当任务开始时,对硬件任务进行初始化;
S202、该硬件任务在首次调度过程中,若部署成功,分配FPGA资源给该硬件任务并运行该硬件任务,将单个硬件任务加入运行队列;
S203、若调度失败,则确定该硬件任务是否可以变形,以及FPGA资源是否适合任务的变形;
S204、如果调度过程中时间戳大于最晚截至时间,则该硬件任务要求得不到满足,将该硬件任务加入执行失败队列。
进一步的,步骤S201中,单个硬件任务用Tn=(a,e,d,w,h)表示,a为任务的到来时间,e为任务的执行时间,d为任务的最晚截止时间,h为任务的高度,w为任务的宽度;且满足,1≤w≤W,1≤h≤H。
进一步的,步骤S203中,根据FPGA资源的空限度F确定变形,F满足0≤F≤1,如果F小于阈值则满足变形条件,对硬件任务的形状进行重新优化,并重新进行匹配调度,对FPGA资源自左向右,自上而下进行遍历匹配。
进一步的,单个硬件任务与FPGA资源和空闲度需满足如下关系:
w*m<W and h*l<H and F<g
其中,h为任务块大小的高度,w为任务的宽度,W表示可重构资源的宽度,H表示可重构资源的高度,n、m、l为三个变形系数。
具体的,根据硬件任务布局成功率r、任务周转周期Tt和资源空限度F对Reshaped-Task-Model调度模型进行评估。
进一步的,硬件任务布局成功率r具体为:
其中,Nsuccess表示成功执行的任务,Nall表示执行过程中提交调度的任务总数;
任务周转周期Tt具体为:
Tt=Tlast tak finished-Tfirst task arrive
其中,Tlast tak finished表示最后一个任务完成的时间,Tfirst task arrive表示第一个任务到来的时间;
资源空限度F具体为:
其中,i表示任务编号,wi表示单个任务的宽度,hi表示单个任务的高度。
与现有技术相比,本发明至少具有以下有益效果:
本发明动态可重构FPGA的布局方法通过构建FPGA动态可重构系统的计算资源,采用位示图法对FPGA的计算资源进行管理,建立单个硬件任务Tn的任务模型,在FPGA在线布局过程中,利用FF Reshaped-Task-Model调度模型对任务模型进行变形完成布局,引入任务可变形模型调度策略是对于到来的任务在首次布局失败后,对任务模型进行变形,增强任务调度的灵活性,提高任务的布局成功率和可重构系统的资源利用率,减少任务的外部碎片。
进一步的,当任务开始时,对单个硬件任务进行初始化;单个硬件任务在首次调度过程中,若部署成功,则运行单个硬件任务,将单个硬件任务加入运行队列;若调度失败,则确定单个硬件任务本身是否可以变形,以及FPGA资源是否适合任务的变形;如果调度过程中时间戳大于最晚截至时间,则单个硬件任务要求得不到满足,将单个硬件任务加入执行失败队列。
进一步的,根据FPGA资源的空限度F确定任务是否可以变形,当FPGA的负载过重的情况下,对单个任务进行变形后再调度的成功率很低,本技术在空限度F小于阈值的情况下,才对任务进行变形,这样减少了部分额外的调度开销。
进一步的,任务布局成功率是衡量一个调度算法性能的最主要的性能指标,本技术实现的Reshaped-Task-Model调度模型,在FF和BF调度算法上的应用提高了算法的布局成功率,而任务集的周转时间以及额外的调度开销并没有增加很多,在某些情况下Reshaped-Task-Model调度模型的周转时间比FF和BF的性能更优。
综上所述,本发明在FPGA在线布局的过程中引入任务模型可变的策略,在首次布局失败的情况下,对在线任务的形状进行改变,并重新调度布局算法,由于任务的形状可以变化,增加了任务的灵活性,提高了布局时任务的可选择性,从而提高了任务布局成功率,提高了FPGA资源的利用率。
下面通过附图和实施例,对本发明的技术方案做进一步的详细描述。
附图说明
图1为本发明FPGA资源的抽象示意图;
图2为本发明单个任务的任务形状定义示意图;
图3为本发明FF Reshaped Task Model流程图;
图4为现有FPGA芯片和任务信息示意图,其中,(a)为FPGA计算资源抽象图,(b)为实例中初始任务块信息图;
图5为FF和FF-RTM调度示意图,其中,(a)为布局失败图,(b)为布局成功图;
图6为布局成功率示意图,其中,(a)为单个任务集50个任务的布局成功率图,(b)为单个任务集80个任务的布局成功率图,(c)为单个任务集100个任务的布局成功率图。
具体实施方式
本发明一种动态可重构FPGA的布局方法,构建FPGA动态可重构系统的计算资源,建立单个硬件任务Tn的任务模型,在FPGA在线布局过程中,利用FF Reshaped-Task-Model调度算法对任务模型进行变形,完成布局,提高FPGA资源的利用率。
包括以下步骤:
S1、定义可重构区资源的大小;
请参阅图1,FPGA(W,H)表示动态可重构系统的计算资源,W表示可重构资源的宽度,H表示可重构资源的高度,W×H表示可重构资源的大小,则有:
S2、根据单个硬件任务建立Reshaped-Task-Model模型
请参阅图2,单个硬件任务用Tn=(a,e,d,w,h)表示,a为任务的到来时间,e为任务的执行时间,d为任务的截止时间,h为任务块大小的高度,w为任务的宽度;且满足,1≤w≤W,1≤h≤H。
动态可重构系统的经典调度策略是Horizon和Stuffing调度主要应用在1D模型FPGA和2D模型FPGA,以上两个算法在有多个布局任务方式可选择的情况下,主要有FF(First-Fit)和基于粘合度指标的BF(Best-Fit)。
以上提到算法对任务形状的研究主要是单任务模型,为了提高布局任务成功率,本发明提出FF Reshaped-Task-Model模型可应用于FF和BF调度算法,请参阅图3,具体步骤如下:
S201、当新任务到来时,对任务进行初始化,用Tn(a,e,d,w,h)表示任务的执行信息;
S202、对任务在首次调度过程中,若部署成功,则运行任务,任务加入运行队列;
S203、若调度失败,则确定任务本身是否可以变形,以及FPGA资源是否适合任务的变形,这里用FPGA的空限度F来进行判断,数学上0≤F≤1,可根据任务集的详细信息定义F的大小,如果F小于阈值则满足变形条件;
如果FPGA资源的空闲度满足变形的条件,则对任务的形状进行修改变化,重新进行匹配调度;在对任务进行匹配调度的过程中可采用FF或者BF调度算法,对FPGA资源自左向右,自上而下进行遍历;
S204、如果调度过程中时间戳大于最晚截至时间,则任务要求得不到满足,任务加入执行失败队列。
以上的调度策略中我们引入对任务模型的变形,根据任务块的高度h和宽度w和整个资源块高度H和宽度W以及任务资源的空闲度F需满足:
w*m<W and h*l<H and F<g
其中,m、l、g是三个变形系数,可根据任务集的属性进行优化。
S3、对步骤S2建立的任务模型进行布局成功率及计算和碎片化评估
任务布局成功率r具体如下:
其中,Nsuccess表示成功执行的任务,Nall表示执行过程中提交调度的任务总数。
任务周转周期Tt具体如下:
Tt=Tlast tak finished-Tfirst task arrive
其中,Tlast tak finished表示最后一个任务完成的时间,Tfirst task arrive表示第一个任务到来的时间。
碎片化评估具体如下
其中,F表示FPGA资源的空闲度,0≤F≤1,i表示任务编号,wi表示单个任务的宽度,hi表示单个任务的高度。
为使本发明实施例的目的、技术方案和优点更加清楚,下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例是本发明一部分实施例,而不是全部的实施例。通常在此处附图中的描述和所示的本发明实施例的组件可以通过各种不同的配置来布置和设计。因此,以下对在附图中提供的本发明的实施例的详细描述并非旨在限制要求保护的本发明的范围,而是仅仅表示本发明的选定实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
为了更好的理解任务变形介绍一个简单任务变形的示例,在10*10的FPGA芯片上在线调度任务,任务的详细信息如下表所示:
a e d w h
Task1 0 8 4 5 5
Task2 2 7 5 5 3
Task3 2 10 4 6 4
Task4 4 5 7 6 4
请参阅图4和图5,应用经典的FF算法和本发明的FF Reshaped-Task-Model(FF-RTM)的调度算法做以比较。在0时刻Task1到来,成功布局;2时刻Task2到来,成功布局;2时刻Task3到来,成功布局;4时刻Task4到来,Task4为6*4的任务块,此时FPGA芯片没有合适的资源可布局Task4,而Task1、Task2和Task3分别在8时刻、9时刻和12时刻结束运行,采用传统的FF策略Task4调度失败。而采用FF-RTM策略在4时刻Task4到来的时候首次调度失败后,本发明对Task4采取变形策略,将任务由6*4变形为3*8并成功布局,上述调度实例,在引入Reshaped-Task-Model模型后,提高了任务集的布局成功率,尤其在任务集规模很大的时Reshaped-Task-Model模型的优化效果越好。
本发明的测试数据集如下表所示,每一个数据集做100次的重复试验求取平均值。
实验结果如下表:
请参阅图6,从上面的实验结果可以看出,随着任务数量的增加FF和FF-RTM算的布局成功率都有不同程度的下降。FF-RTM相比于FF算法可以在任务集中任务数量有50个的时候布局成功率大约提高4.5%左右,任务集中任务数量有100个的时候布局成功率大约提高5.3%,任务集中任务数量有80个的时候布局成功率大约提高6.38%,任务集中任务数量有100个的时候布局成功率大约提高5.3%,单个任务集的任务数量对FF-RTM算法提高的布局成功率也是有影响的,这种影响是非线性的。任务集的周转时间两个算法基本一致,在个别数据集中FF-RTM的任务周转时间还比FF的周转时间。从伪代码我们可以看出FF-RTM和Ff时间复杂度一样,任务运行时间相差不多。FF-RTM算法提高了增强任务调度的灵活性,提高任务的布局成功率和可重构系统的资源利用率。
以上内容仅为说明本发明的技术思想,不能以此限定本发明的保护范围,凡是按照本发明提出的技术思想,在技术方案基础上所做的任何改动,均落入本发明权利要求书的保护范围之内。

Claims (9)

1.一种动态可重构FPGA的在线布局方法,其特征在于,构建FPGA动态可重构系统的资源管理,采用位示图法对FPGA的计算资源进行管理,建立单个硬件任务Tn的任务模型,在FPGA在线布局过程中,利用Reshaped-Task-Model调度模型对任务模型进行变形,完成布局。
2.根据权利要求1所述的一种动态可重构FPGA的布局方法,其特征在于,对FPGA资源的管理如下:
其中,w、h表示计算资源的二维编号,n表示FPGA计算资源分配给任务n,0表示资源未分配。
3.根据权利要求1或2所述的一种动态可重构FPGA的在线布局方法,其特征在于,FPGA动态可重构系统的计算资源为FPGA(W,H),W表示可重构资源的宽,H表示可重构资源的高,W×H表示可重构资源的大小。
4.根据权利要求1所述的一种动态可重构FPGA的布局方法,其特征在于,建立Reshaped-Task-Model调度模型具体包括以下步骤:
S201、当任务开始时,对单个硬件任务进行初始化;
S202、单个硬件任务在首次调度过程中,若部署成功,则运行单个硬件任务,将单个硬件任务加入运行队列;
S203、若调度失败,则确定单个硬件任务本身是否可以变形,以及FPGA资源是否适合任务的变形;
S204、如果调度过程中时间戳大于最晚截至时间,则单个硬件任务要求得不到满足,将单个硬件任务加入执行失败队列。
5.根据权利要求4所述的一种动态可重构FPGA的布局方法,其特征在于,步骤S201中,单个硬件任务用Tn=(a,e,d,w,h)表示,a为任务的到来时间,e为任务的执行时间,d为任务的截止时间,h为任务块大小的高度,w为任务的宽度;且满足,1≤w≤W,1≤h≤H。
6.根据权利要求4所述的一种动态可重构FPGA的布局方法,其特征在于,步骤S203中,根据FPGA资源的空限度F确定变形,F满足0≤F≤1,如果F小于阈值则满足变形条件,对硬件任务的形状进行修改,重新进行匹配调度,对FPGA资源自左向右,自上而下进行遍历。
7.根据权利要求6所述的一种动态可重构FPGA的布局方法,其特征在于,单个硬件任务与FPGA资源和空限度需满足如下关系:
w*m<W and h*l<H and F<g
其中,h为任务块大小的高度,w为任务的宽度,W表示可重构资源的宽度,H表示可重构资源的高度,n、m、l为三个变形系数。
8.根据权利要求1所述的一种动态可重构FPGA的布局方法,其特征在于,根据硬件任务布局成功率r、任务周转周期Tt和资源空限度F对Reshaped-Task-Model调度模型进行评估。
9.根据权利要求8所述的一种动态可重构FPGA的布局方法,其特征在于,硬件任务布局成功率r具体为:
其中,Nsuccess表示成功执行的任务,Nall表示执行过程中提交调度的任务总数;
任务周转周期Tt具体为:
Tt=Tlast tak finished-Tfirst task arrive
其中,Tlast tak finished表示最后一个任务完成的时间,Tfirst task arrive表示第一个任务到来的时间;
资源空限度F具体为:
其中,i表示任务编号,wi表示单个任务的宽度,hi表示单个任务的高度。
CN201810002611.8A 2018-01-02 2018-01-02 一种动态可重构fpga的布局方法 Active CN108256182B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810002611.8A CN108256182B (zh) 2018-01-02 2018-01-02 一种动态可重构fpga的布局方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810002611.8A CN108256182B (zh) 2018-01-02 2018-01-02 一种动态可重构fpga的布局方法

Publications (2)

Publication Number Publication Date
CN108256182A true CN108256182A (zh) 2018-07-06
CN108256182B CN108256182B (zh) 2020-10-27

Family

ID=62725833

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810002611.8A Active CN108256182B (zh) 2018-01-02 2018-01-02 一种动态可重构fpga的布局方法

Country Status (1)

Country Link
CN (1) CN108256182B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111881639A (zh) * 2020-07-27 2020-11-03 北京神舟航天软件技术有限公司 一种可重构硬件任务动态布局方法
CN111880933A (zh) * 2020-07-27 2020-11-03 北京神舟航天软件技术有限公司 一种基于异构计算平台的可重构硬件任务动态分配方法
CN112329368A (zh) * 2020-10-30 2021-02-05 盛科网络(苏州)有限公司 自动调整分割方案的方法、设备和存储介质
CN116107726A (zh) * 2023-04-13 2023-05-12 上海思尔芯技术股份有限公司 Fpga资源调度方法、装置、设备以及存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102959555A (zh) * 2011-04-27 2013-03-06 松下电器产业株式会社 虚拟计算机系统、虚拟计算机控制方法、虚拟计算机控制程序及半导体集成电路
CN104363277A (zh) * 2014-11-13 2015-02-18 上海交通大学 云游戏分布式系统中带宽资源分配管理系统及其管理方法
CN104834556A (zh) * 2015-04-26 2015-08-12 西北工业大学 一种多态实时任务与多态计算资源的映射方法
CN107111517A (zh) * 2014-10-08 2017-08-29 思科技术公司 针对归约器任务的虚拟机优化分配和/或生成
EP3229206A1 (en) * 2016-04-04 2017-10-11 Xerox Corporation Deep data association for online multi-class multi-object tracking

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102959555A (zh) * 2011-04-27 2013-03-06 松下电器产业株式会社 虚拟计算机系统、虚拟计算机控制方法、虚拟计算机控制程序及半导体集成电路
CN107111517A (zh) * 2014-10-08 2017-08-29 思科技术公司 针对归约器任务的虚拟机优化分配和/或生成
CN104363277A (zh) * 2014-11-13 2015-02-18 上海交通大学 云游戏分布式系统中带宽资源分配管理系统及其管理方法
CN104834556A (zh) * 2015-04-26 2015-08-12 西北工业大学 一种多态实时任务与多态计算资源的映射方法
EP3229206A1 (en) * 2016-04-04 2017-10-11 Xerox Corporation Deep data association for online multi-class multi-object tracking

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111881639A (zh) * 2020-07-27 2020-11-03 北京神舟航天软件技术有限公司 一种可重构硬件任务动态布局方法
CN111880933A (zh) * 2020-07-27 2020-11-03 北京神舟航天软件技术有限公司 一种基于异构计算平台的可重构硬件任务动态分配方法
CN111881639B (zh) * 2020-07-27 2023-06-13 北京神舟航天软件技术有限公司 一种可重构硬件任务动态布局方法
CN111880933B (zh) * 2020-07-27 2023-09-22 北京神舟航天软件技术有限公司 一种基于异构计算平台的可重构硬件任务动态分配方法
CN112329368A (zh) * 2020-10-30 2021-02-05 盛科网络(苏州)有限公司 自动调整分割方案的方法、设备和存储介质
CN112329368B (zh) * 2020-10-30 2024-04-12 苏州盛科通信股份有限公司 自动调整分割方案的方法、设备和存储介质
CN116107726A (zh) * 2023-04-13 2023-05-12 上海思尔芯技术股份有限公司 Fpga资源调度方法、装置、设备以及存储介质
CN116107726B (zh) * 2023-04-13 2023-07-18 上海思尔芯技术股份有限公司 Fpga资源调度方法、装置、设备以及存储介质

Also Published As

Publication number Publication date
CN108256182B (zh) 2020-10-27

Similar Documents

Publication Publication Date Title
CN107122243B (zh) 用于cfd仿真计算的异构集群系统及计算cfd任务的方法
US20200326992A1 (en) Acceleration method for fpga-based distributed stream processing system
CN108256182A (zh) 一种动态可重构fpga的布局方法
Cheng et al. Network-aware locality scheduling for distributed data operators in data centers
CN103401939B (zh) 一种采用混合调度策略的负载均衡方法
CN104834561A (zh) 一种数据处理方法及装置
CN105512083A (zh) 基于yarn的资源管理方法、装置及系统
CN108270805B (zh) 用于数据处理的资源分配方法及装置
US10862765B2 (en) Allocation of shared computing resources using a classifier chain
CN104536804A (zh) 面向关联任务请求的虚拟资源调度系统及调度和分配方法
CN109739833A (zh) 一种基于fpga的国产平台数据库加速系统及方法
CN104615480A (zh) 基于numa高性能网络处理器负载的虚拟处理器调度方法
CN103927231A (zh) 一种面向数据处理的能耗优化数据集分配方法
Tuncer et al. Pacmap: Topology mapping of unstructured communication patterns onto non-contiguous allocations
CN104881322A (zh) 一种基于装箱模型的集群资源调度方法及装置
CN116263701A (zh) 算力网络任务调度方法、装置、计算机设备及存储介质
Biswas et al. A novel scheduling with multi-criteria for high-performance computing systems: an improved genetic algorithm-based approach
CN111309472A (zh) 一种基于虚拟机预部署的在线虚拟资源分配方法
Souza et al. CAP Bench: a benchmark suite for performance and energy evaluation of low‐power many‐core processors
Lee et al. Task parallelism-aware deep neural network scheduling on multiple hybrid memory cube-based processing-in-memory
Iserte et al. An study of the effect of process malleability in the energy efficiency on GPU-based clusters
Korolija et al. A runtime job scheduling algorithm for cluster architectures with dataflow accelerators
CN107070965A (zh) 一种虚拟化容器资源下的多工作流资源供给方法
Grigoras et al. Elastic management of reconfigurable accelerators
Zhang et al. Repeatable multi-dimensional virtual network embedding in cloud service platform

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant