CN107909045A - 基于fpga的人脸识别系统 - Google Patents

基于fpga的人脸识别系统 Download PDF

Info

Publication number
CN107909045A
CN107909045A CN201711195313.7A CN201711195313A CN107909045A CN 107909045 A CN107909045 A CN 107909045A CN 201711195313 A CN201711195313 A CN 201711195313A CN 107909045 A CN107909045 A CN 107909045A
Authority
CN
China
Prior art keywords
face
image
fpga
facial
external equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711195313.7A
Other languages
English (en)
Inventor
钱波
张震
姚庆锋
鲁群
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Spark Intelligence Science And Technology Ltd Is Won In Hefei
Original Assignee
Spark Intelligence Science And Technology Ltd Is Won In Hefei
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Spark Intelligence Science And Technology Ltd Is Won In Hefei filed Critical Spark Intelligence Science And Technology Ltd Is Won In Hefei
Priority to CN201711195313.7A priority Critical patent/CN107909045A/zh
Publication of CN107909045A publication Critical patent/CN107909045A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V40/00Recognition of biometric, human-related or animal-related patterns in image or video data
    • G06V40/10Human or animal bodies, e.g. vehicle occupants or pedestrians; Body parts, e.g. hands
    • G06V40/16Human faces, e.g. facial parts, sketches or expressions
    • G06V40/172Classification, e.g. identification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/94Hardware or software architectures specially adapted for image or video understanding
    • G06V10/955Hardware or software architectures specially adapted for image or video understanding using specific electronic processors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Oral & Maxillofacial Surgery (AREA)
  • Human Computer Interaction (AREA)
  • Software Systems (AREA)
  • Image Processing (AREA)

Abstract

本发明公开了基于FPGA的人脸识别系统,涉及面部识别领域。本发明包括双排插针相互连接的外接设备FPGA板和处理数据FPGA板;外接设备FPGA板通过串联外设接口SPI连接面部采集器,面部采集的图像通过SRAM接口存入SRAM中;SDRAM接口用于将处理的面部图像结构存入连接的SDRAM中;LCD PIO连接LCD显示器;按键PIO连接按键;NiosII处理器用于用户根据自己的标准定制软核处理器;图像面部预处理模块用于处理外接设备FPGA板传输的图像。本发明通过两块FPGA芯片串联使用,一块FPGA外接设备,另一块FPGA处理数据,利用硬件描述语言Verilog HDL对图像预处理算法进行了设计和电路实现,将各自功能系统地集成在一起,提高了处理速度,具有结构简单、体积小、功耗低等优点。

Description

基于FPGA的人脸识别系统
技术领域
本发明属于面部识别领域,特别是涉及基于FPGA的人脸识别系统。
背景技术
由于人体特征体征具有唯一性、稳定性、便于采集等优点,人脸识别技术已经成为生物识别技术领域应用最广泛的技术。但传统的基于PC的人脸自动识别系统通常算法复杂,不能满足人们对其实时性和便携性的要求。现在的面部识别系统中仍然存在误识、拒识等问题。
利用FPGA来实现人脸自动识别系统。由于现有的FPGA芯片的单片逻辑门数已经达到上百万门,完全能实现面部识别系统,而FPGA的单片价格却在不断的下降,所以利用FPGA来实现人脸面部识别系统是完全能别市场接受的。
发明内容
本发明的目的在于提供基于FPGA的人脸识别系统,通过两块FPGA芯片串联使用,一块FPGA外接设备,另一块FPGA处理数据,利用硬件描述语言Verilog HDL对图像预处理算法进行了设计和电路实现,将各自功能系统地集成在一起,提高了处理速度,解决了传统基于PC的系统具有体积大、速度慢、资源消耗多的缺点。
为解决上述技术问题,本发明是通过以下技术方案实现的:
本发明为基于FPGA的人脸识别系统,包括双排插针相互连接的外接设备FPGA板和处理数据FPGA板;所述外接设备FPGA板包括SPI、LCD PIO、SDRAM接口、按键PIO、液晶显示控制器和三态总线桥;所述外接设备FPGA板通过串联外设接口SPI连接面部采集器,面部采集的图像通过SRAM接口存入SRAM中;所述SDRAM接口用于将处理的面部图像结构存入连接的SDRAM中;所述LCD PIO连接LCD显示器;所述按键PIO连接按键;所述处理数据FPGA板包括NiosII处理器、图像面部预处理模块、定时器和DMA;所述NiosII处理器用于用户根据自己的标准定制软核处理器;所述图像面部预处理模块用于处理外接设备FPGA板传输的图像;所述定时器定时触发事件;所述DMA用于减轻CPU负担加快读取速度。
优选地,所述图像面部预处理模块主要包括面部图像归一化、图像平滑滤波、图像分割、图像Gabor增强、图像二值化、图像细化几个过程。
优选地,所述LCD PIO可调节LCD显示器功能模式;所述按键PIO用于人脸录入时输入对应人员信息。
优选地,所述面部图像预处理模块和液晶显示控制器必需加入驱动程序使NiosII处理器对其操作。
优选地,所述人脸识别系统包括如下步骤:
S001系统通过SPI模块从面部采集器读取面部数据存入SRAM;
S002 NiosII处理器通过调用面部图像预处理模块实现相应的预处理和特征点提取工作;
S003根据按键状态来决定提取的特征点是用于面部匹配还是用于面部录入;
若提取的特征点用于面部匹配,则执行S004;
若提取的特征点用于面部录入,则执行S005;
S004从FLASH提取面部模板,逐一比对;
S005存入FLASH面部模板库;
S006判断采集的面部特征是否与面部模板匹配;
若匹配,则执行S007;
若不匹配,则执行S008;
S007 LCD显示面部匹配,验证通过;
S008 LCD显示面部不匹配,验证失败。
本发明具有以下有益效果:
本发明通过两块FPGA芯片串联使用,一块FPGA外接设备,另一块FPGA处理数据,利用硬件描述语言Verilog HDL对图像预处理算法进行了设计和电路实现,将各自功能系统地集成在一起,提高了处理速度,具有结构简单、体积小、功耗低、处理快的优点。
当然,实施本发明的任一产品并不一定需要同时达到以上所述的所有优点。
附图说明
为了更清楚地说明本发明实施例的技术方案,下面将对实施例描述所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为本发明基于FPGA的人脸识别系统的结构示意图;
图2为本发明人脸识别系统步骤框图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有作出创造性劳动前提下所获得的所有其它实施例,都属于本发明保护的范围。
请参阅图1所示,本发明为基于FPGA的人脸识别系统,包括双排插针相互连接的外接设备FPGA板和处理数据FPGA板,其中外接设备FPGA板为接口板用于对接外部设备,完成基本的面部采集设备、按键装置、显示设备、存储设备,其中处理数据FPGA板用于通过算法进行设计,处理外接设备FPGA板传输过来的信息数据;外接设备FPGA板包括SPI、LCD PIO、SDRAM接口、按键PIO、液晶显示控制器和三态总线桥;外接设备FPGA板通过串联外设接口SPI连接面部采集器,面部采集器用于采集人脸面部图像,面部采集的图像通过SRAM接口存入SRAM中;SDRAM接口用于将处理的面部图像结构存入连接的SDRAM中;LCD PIO连接LCD显示器;按键PIO连接按键;处理数据FPGA板包括NiosII处理器、图像面部预处理模块、定时器和DMA;NiosII处理器用于用户根据自己的标准定制软核处理器;图像面部预处理模块用于处理外接设备FPGA板传输的图像;定时器用于记录事件,定时触发事件;DMA用于减轻CPU负担加快读取速度。
其中,图像面部预处理模块主要包括面部图像归一化、图像平滑滤波、图像分割、图像Gabor增强、图像二值化、图像细化几个过程;其中图像归一化使图像敏感度对比得到增强;其中图像平滑滤波用于当采集的图片受到外接因素影响时,图像被部分破坏导致生成伪特征点生成,因此使用滤波修补图片提高精准度;其中图像分割用于图像背景和前景的分割,提高了特征提取的提取率和正确率;图像Gabor增强用于增强图像线条的方向和频率信息;图像二值化使将图片转换成只有黑白颜色的图像,方便提取特征点;图像细化用于将图像的黑色脊线细化变成细曲线。
其中,LCD PIO可调节LCD显示器功能模式,却确认人员相关信息;按键PIO用于人脸录入时输入对应人员信息,包括输入法和数字输入功能。
其中,面部图像预处理模块和液晶显示控制器必需加入驱动程序使NiosII处理器对其操作。
请参阅图2所示,本发明为基于FPGA的人脸识别系统包括如下步骤:
S001系统通过SPI模块从面部采集器读取面部数据存入SRAM;
S002 NiosII处理器通过调用面部图像预处理模块实现相应的预处理和特征点提取工作;
S003根据按键状态来决定提取的特征点是用于面部匹配还是用于面部录入;
若提取的特征点用于面部匹配,则执行S004;
若提取的特征点用于面部录入,则执行S005;
S004从FLASH提取面部模板,逐一比对;
S005存入FLASH面部模板库,并输入对应的员工信息方便进行图像比对;
S006判断采集的面部特征是否与面部模板匹配;
若匹配,则执行S007;
若不匹配,则执行S008;
S007 LCD显示面部匹配,验证通过;
S008 LCD显示面部不匹配,验证失败。
值得注意的是,上述系统实施例中,所包括的各个单元只是按照功能逻辑进行划分的,但并不局限于上述的划分,只要能够实现相应的功能即可;另外,各功能单元的具体名称也只是为了便于相互区分,并不用于限制本发明的保护范围。
另外,本领域普通技术人员可以理解实现上述各实施例方法中的全部或部分步骤是可以通过程序来指令相关的硬件来完成,相应的程序可以存储于一计算机可读取存储介质中,所述的存储介质,如ROM/RAM、磁盘或光盘等。
以上公开的本发明优选实施例只是用于帮助阐述本发明。优选实施例并没有详尽叙述所有的细节,也不限制该发明仅为所述的具体实施方式。显然,根据本说明书的内容,可作很多的修改和变化。本说明书选取并具体描述这些实施例,是为了更好地解释本发明的原理和实际应用,从而使所属技术领域技术人员能很好地理解和利用本发明。本发明仅受权利要求书及其全部范围和等效物的限制。

Claims (5)

1.基于FPGA的人脸识别系统,其特征在于,包括双排插针相互连接的外接设备FPGA板和处理数据FPGA板;
所述外接设备FPGA板包括SPI、LCDPIO、SDRAM接口、按键PIO、液晶显示控制器和三态总线桥;
所述外接设备FPGA板通过串联外设接口SPI连接面部采集器,面部采集的图像通过SRAM接口存入SRAM中;所述SDRAM接口用于将处理的面部图像结构存入连接的SDRAM中;所述LCDPIO连接LCD显示器;所述按键PIO连接按键;
所述处理数据FPGA板包括NiosII处理器、图像面部预处理模块、定时器和DMA;
所述NiosII处理器用于用户根据自己的标准定制软核处理器;所述图像面部预处理模块用于处理外接设备FPGA板传输的图像;所述定时器定时触发事件;所述DMA用于减轻CPU负担加快读取速度。
2.根据权利要求1所述的基于FPGA的人脸识别系统,其特征在于,所述图像面部预处理模块主要包括面部图像归一化、图像平滑滤波、图像分割、图像Gabor增强、图像二值化、图像细化几个过程。
3.根据权利要求1所述的基于FPGA的人脸识别系统,其特征在于,所述LCDPIO可调节LCD显示器功能模式;所述按键PIO用于人脸录入时输入对应人员信息。
4.根据权利要求1所述的基于FPGA的人脸识别系统,其特征在于,所述面部图像预处理模块和液晶显示控制器必需加入驱动程序使NiosII处理器对其操作。
5.根据权利要求1所述的基于FPGA的人脸识别系统,其特征在于,所述人脸识别系统包括如下步骤:
S001系统通过SPI模块从面部采集器读取面部数据存入SRAM;
S002NiosII处理器通过调用面部图像预处理模块实现相应的预处理和特征点提取工作;
S003根据按键状态来决定提取的特征点是用于面部匹配还是用于面部录入;
若提取的特征点用于面部匹配,则执行S004;
若提取的特征点用于面部录入,则执行S005;
S004从FLASH提取面部模板,逐一比对;
S005存入FLASH面部模板库;
S006判断采集的面部特征是否与面部模板匹配;
若匹配,则执行S007;
若不匹配,则执行S008;
S007LCD显示面部匹配,验证通过;
S008LCD显示面部不匹配,验证失败。
CN201711195313.7A 2017-11-24 2017-11-24 基于fpga的人脸识别系统 Pending CN107909045A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201711195313.7A CN107909045A (zh) 2017-11-24 2017-11-24 基于fpga的人脸识别系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201711195313.7A CN107909045A (zh) 2017-11-24 2017-11-24 基于fpga的人脸识别系统

Publications (1)

Publication Number Publication Date
CN107909045A true CN107909045A (zh) 2018-04-13

Family

ID=61848381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711195313.7A Pending CN107909045A (zh) 2017-11-24 2017-11-24 基于fpga的人脸识别系统

Country Status (1)

Country Link
CN (1) CN107909045A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110119678A (zh) * 2019-03-29 2019-08-13 珠海亿智电子科技有限公司 一种人脸识别的fpga验证系统和方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101246542A (zh) * 2008-03-11 2008-08-20 江苏科技大学 一种基于fpga的嵌入式身份识别装置及方法
US20110102553A1 (en) * 2007-02-28 2011-05-05 Tessera Technologies Ireland Limited Enhanced real-time face models from stereo imaging
CN103093175A (zh) * 2011-10-27 2013-05-08 上海德致伦电子科技有限公司 基于fpga的人脸识别片上系统
CN105512599A (zh) * 2014-09-26 2016-04-20 数伦计算机技术(上海)有限公司 人脸识别方法及人脸识别系统
CN106934354A (zh) * 2017-02-28 2017-07-07 厦门瑞为信息技术有限公司 一种fpga实现人脸检测的方法
CN206312472U (zh) * 2016-10-27 2017-07-07 阜阳师范学院 一种多电平可调的变频教学实训装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110102553A1 (en) * 2007-02-28 2011-05-05 Tessera Technologies Ireland Limited Enhanced real-time face models from stereo imaging
CN101246542A (zh) * 2008-03-11 2008-08-20 江苏科技大学 一种基于fpga的嵌入式身份识别装置及方法
CN103093175A (zh) * 2011-10-27 2013-05-08 上海德致伦电子科技有限公司 基于fpga的人脸识别片上系统
CN105512599A (zh) * 2014-09-26 2016-04-20 数伦计算机技术(上海)有限公司 人脸识别方法及人脸识别系统
CN206312472U (zh) * 2016-10-27 2017-07-07 阜阳师范学院 一种多电平可调的变频教学实训装置
CN106934354A (zh) * 2017-02-28 2017-07-07 厦门瑞为信息技术有限公司 一种fpga实现人脸检测的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
周阳: "基于FPGA的嵌入式人脸识别系统设计", 《中国优秀硕士学位论文全文数据库信息科技辑》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110119678A (zh) * 2019-03-29 2019-08-13 珠海亿智电子科技有限公司 一种人脸识别的fpga验证系统和方法

Similar Documents

Publication Publication Date Title
CN104217480B (zh) 一种基于指纹和指静脉联合识别的智能门禁系统
WO2019200781A1 (zh) 票据识别方法、装置及存储介质
JP6859501B2 (ja) 通信ソフトウェアにおいて音声により業務を起動する方法及びこれに対応する装置
WO2018094584A1 (zh) 基于生物特征识别的支付及身份认证系统
CN104077576A (zh) 指纹识别方法及具有指纹识别功能的终端设备
CN107992731B (zh) 一种基于智能移动终端设置多重解锁的方法及装置
CN107360276A (zh) 一种基于双指纹识别的验证方法、移动终端及存储装置
CN104050406A (zh) 利用指纹组合进行鉴权的方法及终端设备
CN203552272U (zh) 指纹识别装置
CN106837058A (zh) 一种高安全性指静脉保密柜系统及解锁方法
CN109858366A (zh) 身份认证方法及装置
Sunehra Fingerprint based biometric ATM authentication system
CN207198851U (zh) 基于树莓派的智能身份认证系统
CN103207963A (zh) 指纹加静脉识别双重验证系统
CN107292217A (zh) 一种基于人脸识别与掌静脉技术的双因素身份认证系统
CN105184571A (zh) 一种手背静脉与多指纹结合的支付认证系统
CN107909045A (zh) 基于fpga的人脸识别系统
CN204883895U (zh) 生物识别门禁
CN203324988U (zh) 指纹加静脉识别双重验证系统
CN106845197A (zh) 一种指纹识别方法及装置
CN105825202A (zh) 一种多指纹混合登记的预警处理方法及移动终端
CN209590908U (zh) 一种静脉识别支付腕带
Aizi et al. Remote multimodal biometric identification based on the fusion of the iris and the fingerprint
Ibiyemi et al. DEVELOPMENT OF IRIS AND FINGERPRINT BIOMETRIC AUTHENTICATED SMART ATMDEVICE &CARD
CN207281846U (zh) 一种基于互联网的指纹蓝牙柜锁

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180413

RJ01 Rejection of invention patent application after publication