CN107423680A - 基于fpga的人脸识别装置 - Google Patents

基于fpga的人脸识别装置 Download PDF

Info

Publication number
CN107423680A
CN107423680A CN201710418195.5A CN201710418195A CN107423680A CN 107423680 A CN107423680 A CN 107423680A CN 201710418195 A CN201710418195 A CN 201710418195A CN 107423680 A CN107423680 A CN 107423680A
Authority
CN
China
Prior art keywords
fpga
pins
module
chips
chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710418195.5A
Other languages
English (en)
Inventor
范佳欣
李廷会
万逸儒
任俊杰
代武杰
李静
何丹妮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangxi Normal University
Original Assignee
Guangxi Normal University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangxi Normal University filed Critical Guangxi Normal University
Priority to CN201710418195.5A priority Critical patent/CN107423680A/zh
Publication of CN107423680A publication Critical patent/CN107423680A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V40/00Recognition of biometric, human-related or animal-related patterns in image or video data
    • G06V40/10Human or animal bodies, e.g. vehicle occupants or pedestrians; Body parts, e.g. hands
    • G06V40/16Human faces, e.g. facial parts, sketches or expressions
    • G06V40/161Detection; Localisation; Normalisation
    • G06V40/166Detection; Localisation; Normalisation using acquisition arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Oral & Maxillofacial Surgery (AREA)
  • Human Computer Interaction (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Theoretical Computer Science (AREA)
  • Image Processing (AREA)

Abstract

本发明提供了基于FPGA的人脸识别装置,包括以型号EP2C8Q208I8N为FPGA芯片的主控单元,还包括分别与所述主控单元连接的电源模块、SDRAM模块、AS配置电路模块、摄像头模块、VGA接口模块,其中所述电源模块用于给主芯片供电;所述摄像头模块用来探测人脸,并通过Header9X2与主控单元连接后将所探测到的人脸信号输入主控单元;所述SDRAM模块用于临时存储将要处理的数据;所述AS配置电路模块用于存储人脸识别算法的程序;所述VGA接口模块用来接入显示屏,展示人脸识别算法处理后的效果。本发明采用FPGA芯片进行图像信号处理,利用FPGA并行信号处理速度快的特点,提高了人脸识别算法的运算速度;在市场上此芯片被广泛应用,因此成本低;其他的硬件简单易实现。

Description

基于FPGA的人脸识别装置
技术领域
本发明涉及一种人脸识别技术,具体为是一种基于FPGA的人脸识别装置。
背景技术
人脸是一个包含着丰富信息的模式的集合,是人类互相辩证和识别的主要标志之一,也是图像和视频中视觉感兴趣的对象之一。与指纹、虹膜、语音等其他人体生物特征相比,人脸识别更加直接、友好,无需干扰人们的正常行为就能较好地达到识别效果。目前,市场上人脸识别装置种类繁多,例如基于监控视频动态信息分析的人脸识别装置,也有基于STM32的人脸识别装置等。这些装置中,有的价格昂贵导致推广应用困难,而价格低的则精度不高、且处理速度慢,难以满足正常的功能需求。因此设计一种低成本、高精度、处理速度快的人脸识别装置是必要的。
发明内容
本发明的目的是针对现有技术的不足,而提供一种基于FPGA的人脸识别装置,可以实现低成本、高精度、处理速度快,有利于广泛的推广使用。
本发明的目的是通过下述的技术方案来实现的:
一种基于FPGA的人脸识别装置,包括以型号EP2C8Q208I8N为FPGA芯片的主控单元,还包括分别与所述主控单元连接的电源模块、SDRAM模块、AS配置电路模块、摄像头模块、VGA接口模块,其中所述电源模块用于给主芯片供电;所述摄像头模块用来探测人脸,并通过Header9X2与主控单元连接后将所探测到的人脸信号输入主控单元;所述SDRAM模块用于临时存储将要处理的数据;所述AS配置电路模块用于存储人脸识别算法的程序;所述VGA接口模块用来接入显示屏,展示人脸识别算法处理后的效果。
进一步的,所述主控单元还包括振荡电路和复位电路,复位电路的Reset与EP2C8Q208I8N的129引脚连接,振荡电路的CLK引脚通过电阻R25与FPGA的23引脚连接(Y1)。
进一步的,所述电源模块包括向主控单元提供3.3V电压的第一变压芯片、向主控单元提供1.2V电压的第二变压芯片,第一变压芯片的2引脚VOUT与所述FPGA芯片的7、29、42、166、172、183、194、202、109、122、136、148、62、71、83、91、98引脚连接,第二变压芯片的2引脚VOUT与该FPGA芯片的32、66、79、120、178、190引脚连接。
进一步的,所述SDRAM模块采用HY57V641620芯片,且HY57V641620芯片的A0~A11接口分别接入所述FPGA芯片的141、139、138、137、168、169、170、171、173、175、142、176引脚;HY57V641620芯片的DQ0~DQ15接口分别接入所述FPGA芯片的165、164、163、162、161、160、152、151、182、185、187、188、189、191、192、193引脚;所述HY57V641620芯片的控制端口CS、WE、CAS、RAS、CLK、BA0、BA1、LDQM、UDQM分别接入所述FPGA的145、149、147、146、180、144、143、150、181引脚,所述HY57V641620芯片的CKE接口连接有阻值为10k上拉电阻。
进一步的,所述AS配置电路模块采用EPCS64SI16N芯片,所述EPCS64SI16N芯片的DATA、DCLK、nCS、ASDI接口分别接入所述EP2C8Q208I8N芯片的20、21、2、1引脚。
进一步的,所述摄像头模块采用OV2640芯片,所述OV2640芯片的Y2~Y9端分别连接所述Header9X2的7、10、9、12、11、14、13、16引脚,所述OV2640芯片的C2、C1端分别连接所述Header9X2的3、5引脚,所述OV2640芯片的E3、C3、D2、B6、C6端分别连接所述Header9X2的15、6、4、17、8引脚,所述OV2640芯片的C4端连接12MHz有源晶振的3引脚;所述Header9X2的1、2引脚分别连接GND和VCC,所述Header9X2的3、5、7、9、11、13、15、17端分别接入所述FPGA芯片的82、84、86、87、88、89、90、92引脚,所述Header9X2的4、6、8、10、12、14、16、18端分别接入所述FPGA芯片的14、15、30、31、33、34、35、37引脚。
进一步的,所述VGA接口模块采用VGA接口,所述VGA接口的1、2、3、13、14端接入所述FPGA芯片的41、40、39、44、43引脚。
本发明提供的基于FPGA的人脸识别装置的人脸识别过程如下:当人脸出现在摄像头模块的摄像头前时,摄像头会将实时影像转换为数字信号输入至主控单元,在主控单元内部进行人脸识别的算法运算,并同时将数字信号传输至VGA接口模块,在VGA接口接入显示屏,可实时展现经过算法后的影像。
本发明采用FPGA芯片进行图像信号处理,利用FPGA并行信号处理速度快的特点,提高了人脸识别算法的运算速度;在市场上此芯片被广泛应用,因此成本低;其他的硬件简单易实现。
附图说明
图1为本发明的基于FPGA的人脸识别装置构成示意图;
图2(a)为本发明的EP2C8Q208I8N芯片的BNK1引脚图;
图2(b)为本发明装置中EP2C8Q208I8N芯片的BANK2引脚图;
图2(c)为本发明装置中EP2C8Q208I8N芯片的BANK3引脚图;
图2(d)为本发明装置中EP2C8Q208I8N芯片的BANK4引脚图;
图2(e)为本发明装置中EP2C8Q208I8N芯片的使能引脚图;
图2(f)为本发明装置中EP2C8Q208I8N芯片的工作电压引脚图;
图2(g)为本发明装置中EP2C8Q208I8N芯片的接地引脚图;
图2(h)为本发明装置中EP2C8Q208I8N芯片的PLL引脚图;
图2(i)为本发明装置中EP2C8Q208I8N芯片的JTAG引脚图;
图3(a)为本发明装置中振荡电路的晶振连接图;
图3(b)为本发明装置中振荡电路与FPGA时钟引脚连接图;
图4(a)为本发明装置中复位电路部分的复位按钮连接图;
图4(b)为本发明装置中复位电路与FPGA复位引脚连接图;
图5为本发明装置中SDRAM模块电路图;
图6(a)为本发明装置中AS配置电路模块电路存储芯片图;
图6(b)为本发明装置中AS配置电路模块电路接口图;
图7(a)为本发明装置中摄像头模块电路的芯片引脚图;
图7(b)为本发明装置中摄像头模块电路的12MHz有源晶振引脚图;
图7(c)为本发明装置中摄像头模块电路的Header9X2引脚图;
图8为本发明装置中VGA接口模块电路图;
图9(a)为本发明装置中电源模块的变压芯片连接图;
图9(b)为本发明装置中电源模块的模拟地和数字地连接图。
具体实施方式
下面结合附图和实施例对本发明内容作进一步的阐述,但不是对本发明的限定。
实施例:
如图1所示,一种基于FPGA的人脸识别装置包括主控单元及与主控单元连接的电源模块、SDRAM模块、AS配置电路模块、摄像头模块、VGA接口模块;其中主控单元具有型号EP2C8Q208I8N的芯片为FPGA芯片,所述电源模块用于给FPGA芯片供电;所述摄像头模块用来探测人脸,并通过Header9X2与主控单元连接后将所探测到的人脸信号输入主控单元;所述SDRAM模块用于临时存储将要处理的人脸信号数据;所述AS配置电路模块用于存储人脸识别算法的程序;所述VGA接口模块用来接入显示屏,展示人脸识别算法处理后的效果。
一个具体实施例中,所述主控单元还包括振荡电路和复位电路,复位电路的Reset与EP2C8Q208I8N的129引脚连接,振荡电路的CLK引脚通过电阻R25与FPGA的23引脚连接(Y1)。
再一个具体实施例中,所述电源模块包括向主控单元提供3.3V电压的第一变压芯片、向主控单元提供1.2V电压的第二变压芯片,第一变压芯片的2引脚VOUT与该FPGA芯片的7、29、42、166、172、183、194、202、109、122、136、148、62、71、83、91、98引脚连接,第二变压芯片的2引脚VOUT与该FPGA芯片的32、66、79、120、178、190引脚连接。
EP2C8Q208I8N芯片的引脚部分包括BANK1引脚、BANK2引脚、BANK3引脚、BANK4引脚、使能引脚、工作电压引脚、接地引脚、PLL引脚和JTAG引脚。如图2(a)所示,EP2C8Q208I8N芯片的BANK1引脚包括引脚1~6、8、10~15、30~35、37、39~41、43~48;如图2(b)所示,EP2C8Q208I8N芯片的BANK2引脚包括引脚160~165、168~173、175、176、179~182、185、187~189、191~193、195、197~203、205~208;如图2(c)所示,EP2C8Q208I8N芯片的BANK3引脚包括引脚105~108、110、112~118、127、128、133~135、137~139、141~147、149~152;如图2(d)所示,EP2C8Q208I8N芯片的BANK4引脚包括引脚56~61、63、64、67~70、72、74~77、80~82、84、86~90、92、94~97、99、101~104;如图2(e)所示,EP2C8Q208I8N芯片的使能引脚包括引脚22、26、121,其中22引脚通过AS配置电路模块的Header5X2和R19接地;如图2(f)所示,EP2C8Q208I8N芯片的工作电压引脚包括7、29、42、166、172、183、194、202、109、122、136、148、62、71、83、91、98、32、66、79、120、178、190;如图2(g)所示,EP2C8Q208I8N芯片的接地引脚包括9、25、36、38、49、55、65、73、78、85、93、100、111、119、124、140、153、159、167、174、177、184、186、196、204;如图2(h)所示,EP2C8Q208I8N芯片的PLL引脚包括53、51、157、155、54、52、50、158、156、154;如图2(i)所示,JTAG的1、3、5、9引脚分别与EP2C8Q208I8N芯片的19、16、18、17引脚连接。选用型号EP2C8Q208I8N芯片作为FPGA芯片,是整个基于FPGA的人脸识别装置的控制与计算部分,控制各部分协调工作,同时也进行计数运算。
振荡电路中,如图3(a)所示的100MHz晶振连接图;如图3(b)所示的FPGA时钟引脚连接图;其CLK通过电阻R25与FPGA的23引脚连接(Y1)。。
复位电路复位按钮连接如图4(a)所示,图4(b)为复位电路与FPGA复位引脚连接图,复位电路的Reset与EP2C8Q208I8N的129引脚连接。
SDRAM模块如图5所示,采用芯片HY57V641620,A0~A11接口分别接入EP2C8Q208I8N芯片的141、139、138、137、168、169、170、171、173、175、142、176引脚;DQ0~DQ15接口分别接入EP2C8Q208I8N芯片的165、164、163、162、161、160、152、151、182、185、187、188、189、191、192、193引脚;控制端口CS、WE、CAS、RAS、CLK、BA0、BA1、LDQM、UDQM分别接入EP2C8Q208I8N芯片的145、149、147、146、180、144、143、150、181引脚,CKE接口接阻值为10k的上拉电阻。SDRAM模块与CPU频率同步,共享一个时钟周期,其中SDRAM模块内含两个交错的存储阵列,当CPU从一个存储阵列访问数据的同时,另一个已准备好读写数据,通过两个存储阵列的紧密切换,读取效率得到成倍提高。
AS配置电路模块如图6(a)、6(b)所示,它采用芯片EPCS64SI16N,DATA、DCLK、nCS、ASDI接口分别接入EP2C8Q208I8N芯片的20、21、2、1引脚,用于存储人流密度计算及控制所需的程序。
摄像头模块的引脚如图7(a)所示,它采用芯片OV2640,图7(b)为12MHz有源晶振引脚图;图7(c)为Header9X2引脚图;摄像头模块的Y2~Y9端分别连接Header9X2的7、10、9、12、11、14、13、16引脚,C2、C1端分别连接Header9X2的3、5引脚,E3、C3、D2、B6、C6端分别连接Header9X2的15、6、4、17、8引脚,C4端连接12MHz有源晶振的3引脚;Header9X2的1、2引脚分别连接GND和VCC,3、5、7、9、11、13、15、17端分别接入EP2C8Q208I8N芯片的82、84、86、87、88、89、90、92引脚,4、6、8、10、12、14、16、18端分别接入EP2C8Q208I8N芯片的14、15、30、31、33、34、35、37引脚。
VGA接口模块电路如图8所示,它采用VGA接口,1、2、3、13、14端接入EP2C8Q208I8N芯片的41、40、39、44、43引脚。
电源模块中,图9(a)所示为变压芯片连接图,第一变压芯片AMS1117-3.3的3引脚连接VCC,2引脚输出3.3V的电压,1引脚接数字地;第二变压芯片AMS1117-1.2的3引脚连接VCC,2引脚输出1.2V的电压,1引脚接数字地;图9(b)为模拟地和数字地连接图,模拟地通过0欧姆电阻R27与数字地连接。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的权利要求范围之内。

Claims (7)

1.一种基于FPGA的人脸识别装置,包括以型号EP2C8Q208I8N为FPGA芯片的主控单元,还包括分别与所述主控单元连接的电源模块、SDRAM模块、AS配置电路模块、摄像头模块、VGA接口模块,其中所述电源模块用于给主芯片供电;所述摄像头模块用来探测人脸,并通过Header9X2与主控单元连接后将所探测到的人脸信号输入主控单元;所述SDRAM模块用于临时存储将要处理的数据;所述AS配置电路模块用于存储人脸识别算法的程序;所述VGA接口模块用来接入显示屏,展示人脸识别算法处理后的效果。
2.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述主控单元还包括振荡电路和复位电路,复位电路的Reset与所述EP2C8Q208I8N的129引脚连接,振荡电路的CLK引脚通过电阻R25与FPGA的23引脚连接(Y1)。
3.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述电源模块包括向主控单元提供3.3V电压的第一变压芯片、向主控单元提供1.2V电压的第二变压芯片,第一变压芯片的2引脚VOUT与所述FPGA芯片的7、29、42、166、172、183、194、202、109、122、136、148、62、71、83、91、98引脚连接,第二变压芯片的2引脚VOUT与所述FPGA芯片的32、66、79、120、178、190引脚连接。
4.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述SDRAM模块采用HY57V641620芯片,且HY57V641620芯片的A0~A11接口分别接入所述FPGA芯片的141、139、138、137、168、169、170、171、173、175、142、176引脚;HY57V641620芯片的DQ0~DQ15接口分别接入所述FPGA芯片的165、164、163、162、161、160、152、151、182、185、187、188、189、191、192、193引脚;所述HY57V641620芯片的控制端口CS、WE、CAS、RAS、CLK、BA0、BA1、LDQM、UDQM分别接入所述FPGA的145、149、147、146、180、144、143、150、181引脚,所述HY57V641620芯片的CKE接口连接有阻值为10k上拉电阻。
5.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述AS配置电路模块采用EPCS64SI16N芯片,所述EPCS64SI16N芯片的DATA、DCLK、nCS、ASDI接口分别接入所述EP2C8Q208I8N芯片的20、21、2、1引脚。
6.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述摄像头模块采用OV2640芯片,所述OV2640芯片的Y2~Y9端分别连接所述Header9X2的7、10、9、12、11、14、13、16引脚,所述OV2640芯片的C2、C1端分别连接所述Header9X2的3、5引脚,所述OV2640芯片的E3、C3、D2、B6、C6端分别连接所述Header9X2的15、6、4、17、8引脚,所述OV2640芯片的C4端连接12MHz有源晶振的3引脚;所述Header9X2的1、2引脚分别连接GND和VCC,所述Header9X2的3、5、7、9、11、13、15、17端分别接入所述FPGA芯片的82、84、86、87、88、89、90、92引脚,所述Header9X2的4、6、8、10、12、14、16、18端分别接入所述FPGA芯片的14、15、30、31、33、34、35、37引脚。
7.根据权利要求1所述的基于FPGA的人脸识别装置,其特征在于所述VGA接口模块采用VGA接口,所述VGA接口的1、2、3、13、14端接入所述FPGA芯片的41、40、39、44、43引脚。
CN201710418195.5A 2017-06-06 2017-06-06 基于fpga的人脸识别装置 Pending CN107423680A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710418195.5A CN107423680A (zh) 2017-06-06 2017-06-06 基于fpga的人脸识别装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710418195.5A CN107423680A (zh) 2017-06-06 2017-06-06 基于fpga的人脸识别装置

Publications (1)

Publication Number Publication Date
CN107423680A true CN107423680A (zh) 2017-12-01

Family

ID=60428697

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710418195.5A Pending CN107423680A (zh) 2017-06-06 2017-06-06 基于fpga的人脸识别装置

Country Status (1)

Country Link
CN (1) CN107423680A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108681704A (zh) * 2018-05-15 2018-10-19 北京理工大学 一种基于深度学习的人脸识别系统
CN109274941A (zh) * 2018-10-23 2019-01-25 合肥博焱智能科技有限公司 基于fpga的多路视频解码人脸检测识别方法
CN111999590A (zh) * 2020-10-28 2020-11-27 湖南兴天电子科技有限公司 开关机测试电路和开关机测试系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN202077139U (zh) * 2011-05-06 2011-12-14 广东工业大学 基于可编程片上系统的智能楼宇可视门铃
CN203366408U (zh) * 2013-05-24 2013-12-25 中山市安领星电子科技有限公司 一种基于fpga控制芯片功能应用的多功能门禁
CN205721834U (zh) * 2016-04-28 2016-11-23 武汉大学 一种基于物联网人脸识别技术的网络实名制认证系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN202077139U (zh) * 2011-05-06 2011-12-14 广东工业大学 基于可编程片上系统的智能楼宇可视门铃
CN203366408U (zh) * 2013-05-24 2013-12-25 中山市安领星电子科技有限公司 一种基于fpga控制芯片功能应用的多功能门禁
CN205721834U (zh) * 2016-04-28 2016-11-23 武汉大学 一种基于物联网人脸识别技术的网络实名制认证系统

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
胡迎刚;李军;: "基于信息技术FPGA和人脸识别技术的智能考勤系统设计", 网络安全技术与应用, no. 03, pages 0 - 1 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108681704A (zh) * 2018-05-15 2018-10-19 北京理工大学 一种基于深度学习的人脸识别系统
CN109274941A (zh) * 2018-10-23 2019-01-25 合肥博焱智能科技有限公司 基于fpga的多路视频解码人脸检测识别方法
CN111999590A (zh) * 2020-10-28 2020-11-27 湖南兴天电子科技有限公司 开关机测试电路和开关机测试系统

Similar Documents

Publication Publication Date Title
CN107423680A (zh) 基于fpga的人脸识别装置
Fularz et al. A high-performance FPGA-based image feature detector and matcher based on the FAST and BRIEF algorithms
CN107316020A (zh) 人脸替换方法、装置及电子设备
Seif et al. A hardware architecture of Prewitt edge detection
CN109063695A (zh) 一种人脸关键点检测方法、装置及其计算机存储介质
CN104102897B (zh) 图像处理装置和图像处理方法
Komorkiewicz et al. Efficient hardware implementation of the Horn-Schunck algorithm for high-resolution real-time dense optical flow sensor
CN109167966A (zh) 基于fpga+arm的图像动态检测系统及方法
Abdelgawad et al. High level synthesis of canny edge detection algorithm on Zynq platform
CN104616300B (zh) 基于采样模式分离的图像匹配方法及装置
CN104461009B (zh) 物体的测量方法和智能设备
CN207652564U (zh) 一种基于fpga的非制冷红外热成像系统
CN204636311U (zh) 医用近红外皮下静脉检测成像仪
CN115274099A (zh) 一种人与智能交互的计算机辅助诊断系统与方法
CN103093175A (zh) 基于fpga的人脸识别片上系统
Hussain et al. ViPS: Visual processing system for medical imaging
Shi et al. Dual-channel image acquisition system based on FPGA
Varshini A reconfigurable memory based fast VLSI architecture for histogram computation
CN206711133U (zh) 一种基于fpga的人流密度检测装置
Khan et al. A DVP-Based Bridge Architecture to Randomly Access Pixels of High-Speed Image Sensors
CN206946503U (zh) 一种基于深度学习的图像分类识别处理散热柜
CN2255823Y (zh) B型超声仪的全方位m型成象装置
Ranganathan et al. Design and development of camera interface controller with video pre-processing modules on fpga for mavs
Wan et al. Omni-domain feature extraction method for gait recognition
WO2020042102A1 (zh) 基于皮肤创伤自动识别的药品推荐方法、存储介质、设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination