CN107330231B - 基于fpga的控制系统实时仿真框架设计方法 - Google Patents

基于fpga的控制系统实时仿真框架设计方法 Download PDF

Info

Publication number
CN107330231B
CN107330231B CN201710681556.5A CN201710681556A CN107330231B CN 107330231 B CN107330231 B CN 107330231B CN 201710681556 A CN201710681556 A CN 201710681556A CN 107330231 B CN107330231 B CN 107330231B
Authority
CN
China
Prior art keywords
simulation
control system
time
fpga
real
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710681556.5A
Other languages
English (en)
Other versions
CN107330231A (zh
Inventor
丁承第
刘忠义
闫大威
周进
雷铮
崔广胜
王魁
李媛媛
宣文博
梁群
毛华
刘树勇
宋佳
王世举
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
State Grid Corp of China SGCC
State Grid Tianjin Electric Power Co Ltd
Original Assignee
State Grid Corp of China SGCC
State Grid Tianjin Electric Power Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by State Grid Corp of China SGCC, State Grid Tianjin Electric Power Co Ltd filed Critical State Grid Corp of China SGCC
Priority to CN201710681556.5A priority Critical patent/CN107330231B/zh
Publication of CN107330231A publication Critical patent/CN107330231A/zh
Application granted granted Critical
Publication of CN107330231B publication Critical patent/CN107330231B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/331Design verification, e.g. functional simulation or model checking using simulation with hardware acceleration, e.g. by using field programmable gate array [FPGA] or emulation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Supply And Distribution Of Alternating Current (AREA)

Abstract

一种基于FPGA的控制系统实时仿真框架设计方法。其包括采用控制系统基本元件对二次系统、分布式电源建模;生成Nc个控制子系统并确定与电气系统接口变量;设定控制系统实时仿真步长为Δt;分配FPGA硬件资源,自动生成Nc个控制子系统求解模块、接口变量读入和输出模块;从读入模块读出Ni个接口数据输入求解模块,同时将新的接口数据存入读入模块;将求出的No个接口变量存入电气系统的对应存储器;判断仿真是否结束等步骤。本发明方法利用FPGA高度并行的硬件架构,具有较好的可行性与适用性,实现了控制系统的高速计算,为含高压直流、柔性交流输电、分布式电源的现代电力系统实时仿真提供了很好的解决思路。

Description

基于FPGA的控制系统实时仿真框架设计方法
技术领域
本发明属于电力系统中电气设备测试技术领域,特别是涉及一种基于FPGA的控制系统实时仿真框架设计方法。
背景技术
电力系统实时仿真是与现实时间完全同步的电磁暂态仿真,其主要功能在于通过硬件在环仿真(Hardware-in-the-loop-simulation)测试出厂的保护和控制设备。实时仿真器可以与待测设备相连,并模拟较为真实的暂态条件,避免待测设备对真实系统产生影响。从功率等级看,硬件在环仿真可分为信号型硬件在环仿真以及功率型硬件在环仿真,在信号型硬件在环仿真中,待测设备通常为二次控制器、保护设备、智能终端等,与实时仿真器之间进行低功率的信号交互。相对来说,功率型硬件在环仿真中,待测设备一般为实际电气设备,比如发动机、电力电子设备等,需要与实时仿真器之间实现较大的功率流动,因此在实时仿真器与待测设备之间往往需要功率放大器进行接口。
在电力系统实时仿真中,一般将电力系统仿真对象划分为电气系统和控制系统两部分进行求解。在电气系统中,其元件特性是以元件的伏安关系描述的,如线路、变压器、开关元件、电机、阻抗等;在控制系统中,其元件特性是以输入输出关系描述的,如传递函数、延迟环节、限幅环节等,需要基于控制系统进行建模。在传统的电力系统电磁暂态仿真中,控制系统主要用于对发电机的励磁控制、原动部分进行建模,在含电力电子设备的电力系统暂态仿真中,控制系统不仅可以对由各种线性环节、动态环节以及非线性环节构成的二次系统进行建模,在现代电力系统中,控制系统还承担针对高压直流输电、柔性交流输电技术等大功率电力电子设备的二次系统进行仿真的任务,同时,随着可再生能源发电、分布式电源等清洁能源在配电网中广泛接入,具有复杂非线性特性的分布式电源同样可以在控制系统中进行建模和仿真,因此控制系统在电力系统实时仿真中具有十分重要的作用。
然而二次系统以及各类分布式电源本身就具有较强的非线性特征,另外,随着现代电力系统中分布式电源、高压直流输电技术、柔性交流输电技术的大量应用,控制系统的仿真规模在电力系统实时仿真中所占的比重越来越高,对于控制系统的计算往往成为整个实时仿真最大的计算负担,此时就亟需使用新型底层硬件提升控制系统实时仿真的计算能力。
传统电力系统实时仿真主要基于RTDS、HYPERSIM、eMEGAsim等商业实时仿真平台,然而现代电力系统具有了新的特点:1)现代电力系统中引入了大量高频电力电子设备及其二次系统,这使得实时仿真器中控制系统部分的计算资源消耗越来越大,对实时仿真器的计算性能提出了较高的要求,以RTDS等实时仿真平台研究相关问题时,如不借助系统等值等方法,研究的花费和代价过大,也不利于问题的扩展。另一方面,系统等值会使得电网的运行特性发生变化,在涉及电力系统特性研究时,会因电网的等值化简影响到仿真的准确性;2)高压直流、柔性交流输电技术应用大量电力电子装置,要准确反映系统的暂态过程,需要采用更小的仿真步长,这更进一步加剧了计算资源的矛盾,并对仿真的实时性提出了更高的要求。因此,电力系统实时仿真面临计算能力与精度和经济性的双重挑战。
为解决现代电力系统实时仿真中控制系统的计算需求,需要先进的底层计算硬件以及快速的仿真算法。在底层计算硬件层面,大多实时仿真器采用基于RISC(精简指令级计算机)的工作站、多DSP、多CPU计算机、PC机群、多核技术等,通过并行技术达到实时计算能力。这类方法涉及的大部分数据处理工作仍是串行进行。另外,为了实现大规模系统仿真,需要设置大量数据处理单元,处理单元之间的数据通讯又会成为计算速度的主要瓶颈。相比之下,基于现场可编程逻辑门阵列(field-programmable gate array,FPGA)的全硬件计算为实时仿真提供了一种新思路。FPGA本质上具有完全可配置的固有硬件并行结构,其逻辑资源可配置为很多并行处理单元并实现多层级高度并行计算;同时,FPGA芯片上具有大量嵌入式块RAM,可配置为大量分布式ROM或RAM,其数据和地址宽度、端口数量皆可配置,而传统实时仿真器中内存和总线大多是共享的,且端口有限,因此限制了数据的传输效率;FPGA允许使用流水线技术,加强了数据处理效率,并且,FPGA还拥有大量传输速度极快的内部连线,不会引入过大的通讯延迟;最后,FPGA具有可重构特性,可以针对仿真算例量身定做地调整实时仿真器,以达到最快的计算速度。但目前尚缺少采用FPGA对电力系统仿真中的控制系统部分进行实时仿真的方法。
发明内容
为了解决上述问题,本发明的目的在于提供一种基于FPGA的控制系统实时仿真框架设计方法。
为了达到上述目的,本发明提供的基于FPGA的控制系统实时仿真框架设计方法包括按顺序进行的下列步骤:
第一步:在离线环境下,采用基于FPGA的控制系统基本元件对拟研究的电力系统的二次系统、分布式电源分别进行建模;
第二步:在离线环境下,利用图的连通性以及深度优先搜索算法,对上述分布式电源、二次系统模型进行拓扑识别,划分为Nc个控制子系统;
第三步:在离线环境下,确定上述Nc个控制子系统需要由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No
第四步:在离线环境下,分别计算Nc个控制子系统进行一个时步解算所需的时钟周期数,根据FPGA的驱动时钟频率f以及各个控制子系统中的最长时钟周期数nc,计算控制系统所对应的每一时步仿真所需的计算时间tc,其中tc=nc/f;
第五步:在离线环境下,设定控制系统实时仿真步长Δt;
第六步:在离线环境下,为Nc个控制子系统分配独立的FPGA硬件资源,同时根据上述选定的控制系统实时仿真步长Δt,计算Nc个控制子系统中各元件的具体仿真参数,并根据Nc个控制子系统中各元件的连接关系以及基于FPGA的控制系统元件输入输出模型,自动生成基于元件连接顺序进行求解的Nc个控制子系统求解模块;
第七步:在离线环境下,根据由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No,自动生成控制系统读入模块和控制系统输出模块;
第八步:在在线环境下,设置仿真时刻t=0;
第九步:开始下一时步的仿真,令t=t+Δt;
第十步:从控制系统读入模块的存储器RAMi中以串行形式读出Ni个接口数据,分配给Nc个控制子系统求解模块;同时控制系统每个时钟周期均检测电气系统是否将No个接口数据传递过来,将传递过来的接口数据存入存储器RAMi中;
第十一步:控制系统进行一个时步计算,对Nc个控制子系统进行仿真计算,求解出需要向电气系统读出的No个接口变量;其中Nc个控制子系统在基于FPGA的仿真框架中是通过分配独立的计算资源且并行求解的,并且控制系统求解时间由Nc个控制子系统中计算耗时最长者决定;
第十二步:将Nc个控制子系统求解出的No个接口变量按顺序组成串行数据流,并以串行的形式存入控制系统输出模块的存储器RAMo中,由存储器RAMo统一读出并存入电气系统的电力电子开关模块和电源模块的对应存储器中;
第十三步:判断仿真时间是否达到仿真终了时刻,如达到仿真终了时刻,则仿真结束;否则返回第九步。
在第十步中,所述的“从控制系统读入模块的存储器RAMi中以串行形式读出Ni个接口数据,分配给Nc个控制子系统求解模块”和“每个时钟周期均检测电气系统是否将接口数据传递过来,将传递过来的接口数据存入存储器RAMi中”这两个过程是完全独立的,并且能够并行进行。
本发明提供的基于FPGA的控制系统实时仿真框架设计方法充分利用了FPGA高度并行的硬件架构,具有较好的可行性与适用性,可有效提高控制系统的仿真效率,实现了控制系统的高速计算,为实现含高压直流、柔性交流输电、分布式电源的现代电力系统实时仿真提供了一种很好的解决思路,缓解了实时仿真中控制系统部分的计算压力。
附图说明
图1是本发明提供的基于FPGA的控制系统实时仿真框架设计方法中控制系统求解框架示意图。
图2是本发明提供的基于FPGA的控制系统实时仿真框架设计方法流程图。
图3是实施例中选取的作为待研究电力系统的典型光蓄混合发电系统示意图。
图4是实施例中控制子系统耗时示意图。
图5是实施例中逆变器输出的A相电流图。
图6是实施例中逆变器输出功率图。
图7是实施例中蓄电池输出功率图。
图8是光伏阵列输出功率图。
图9是蓄电池输出电压图。
图10是直流母线电压图
具体实施方式
现以图3所示的典型光蓄发电系统为例对本发明提供的基于FPGA的控制系统实时仿真框架设计方法进行详细说明。
在该系统中,蓄电池组通过DC/DC换流器与光伏阵列并于直流母线A。其中,光伏阵列采用MPPT控制;蓄电池组放电和充电时分别采用Boost升压电路和Buck降压电路模式,用于维持直流母线A的电压恒定;逆变器采用PQ控制,维持整个光蓄发电系统的输出有功和无功功率恒定。直流母线A的电压控制在750V,无功参考值Qref设为0Var,保证单位功率因数运行,温度设置为298.15K。设系统最初的光照强度为1000W/m2,逆变器有功功率指令为10kW,系统达到稳态后,光照强度由1000W/m2下降为800W/m2,随后1s后有功功率指令降低为4kW。
如图1、图2所示,本实施例提供的基于FPGA的控制系统实时仿真框架设计方法包括按顺序进行的下列步骤:
第一步:在离线环境下,采用基于FPGA的控制系统基本元件对拟研究的电力系统的二次系统、分布式电源分别进行建模;在本实施例中,主要采用基于FPGA的控制系统基本元件对光伏阵列、蓄电池组及其二次系统分别进行建模;
第二步:在离线环境下,利用图的连通性以及深度优先搜索算法,对上述分布式电源、二次系统模型进行拓扑识别,划分为Nc个控制子系统;本实施例中将光蓄发电系统分为5个控制子系统,即Nc=5,分别为光伏阵列、蓄电池组、Boost电路的MPPT控制系统、Boost/Buck电路的控制系统以及逆变器控制系统,控制系统的解算时间由耗时最长的逆变器控制系统决定,如图4所示;
第三步:在离线环境下,确定上述Nc个控制子系统需要由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No;在本实施例中,由电气系统读入的接口变量的个数Ni=11,向电气系统读出的接口变量的个数No=13;
第四步:在离线环境下,分别计算Nc个控制子系统进行一个时步解算所需的时钟周期数,根据FPGA的驱动时钟频率f以及各个控制子系统中的最长时钟周期数nc,计算控制系统所对应的每一时步仿真所需的计算时间tc,其中tc=nc/f;在本实施例中,逆变器控制系统的解算时间耗时最长,为222个时钟周期,tc=1.644μs;
第五步:在离线环境下,设定控制系统实时仿真步长Δt,因为控制系统的解算首先要保证实时性,即tc≤Δt,因此应在保证仿真精度的前提下选择实时仿真步长;在本实施例中,Δt取为1.696μs;
第六步:在离线环境下,为Nc个控制子系统分配独立的FPGA硬件资源,同时根据上述选定的控制系统实时仿真步长Δt,计算Nc个控制子系统中各元件的具体仿真参数,并根据Nc个控制子系统中各元件的连接关系以及基于FPGA的控制系统元件输入输出模型,自动生成基于元件连接顺序进行求解的Nc个控制子系统求解模块;
第七步:在离线环境下,根据由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No,自动生成控制系统读入模块和控制系统输出模块;
第八步:在在线环境下,设置仿真时刻t=0;
第九步:开始下一时步的仿真,令t=t+Δt;
第十步:从控制系统读入模块的存储器RAMi中以串行形式读出Ni个接口数据,分配给Nc个控制子系统求解模块;同时控制系统每个时钟周期均检测电气系统是否将No个接口数据传递过来,将传递过来的接口数据存入存储器RAMi中;
第十一步:控制系统进行一个时步计算,对Nc个控制子系统进行仿真计算,求解出需要向电气系统读出的No个接口变量。其中Nc个控制子系统在基于FPGA的仿真框架中是通过分配独立的计算资源且并行求解的,并且控制系统求解时间由Nc个控制子系统中计算耗时最长者决定;
第十二步:将Nc个控制子系统求解出的No个接口变量按顺序组成串行数据流,并以串行的形式存入控制系统输出模块的存储器RAMo中,由存储器RAMo统一读出并存入电气系统的电力电子开关模块和电源模块的对应存储器中;
第十三步:判断仿真时间是否达到仿真终了时刻,如达到仿真终了时刻,则仿真结束;否则返回第九步。
本实施例的执行环境为Altera公司的
Figure BDA0001375616530000081
IV GX FPGA 530官方开发板。该开发板配有Stratix IV系列FPGA EP4SGX530KH40C2N芯片,该芯片包含531200个逻辑单元,212480个自适应逻辑模块,1280个M9K存储器,64个M144K存储器,1024个18x18专用乘法器,8个PLL以及744个I/O。除了EP4SGX530KH40C2N芯片,开发板还提供了多个频率的时钟电路,3个用户可配置按钮,大量外部存储器,PCI Express插槽,10/100/1 000Ethernet接口等外围电路。
在仿真精度方面,附图5~10比较了采用本发明方法与商业仿真软件PSCAD/EMTDC的仿真结果。从图中可以看出,PSCAD/EMTDC仿真结果与本发明方法的仿真结果在稳态与暂态过程中都能够完全吻合,二者的动态响应特性保持了高度一致,体现出了良好的仿真精度,充分验证了本发明方法的可行性。
以上算例测试结果证明,本发明提供的基于FPGA的控制系统实时仿真框架设计方法充分利用了FPGA高度并行的硬件架构,具有较好的可行性与适用性,可有效提高控制系统的仿真效率,实现了控制系统的高速计算,为实现含高压直流、柔性交流输电、分布式电源的现代电力系统实时仿真提供了一种很好的解决思路,缓解了实时仿真中控制系统部分的计算压力。

Claims (2)

1.一种基于FPGA的控制系统实时仿真框架设计方法,其特征在于:所述的基于FPGA的控制系统实时仿真框架设计方法包括按顺序进行的下列步骤:
第一步:在离线环境下,采用基于FPGA的控制系统基本元件对拟研究的电力系统的二次系统、分布式电源分别进行建模;
第二步:在离线环境下,利用图的连通性以及深度优先搜索算法,对上述分布式电源、二次系统模型进行拓扑识别,划分为Nc个控制子系统;
第三步:在离线环境下,确定上述Nc个控制子系统需要由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No
第四步:在离线环境下,分别计算Nc个控制子系统进行一个时步解算所需的时钟周期数,根据FPGA的驱动时钟频率f以及各个控制子系统中的最长时钟周期数nc,计算控制系统所对应的每一时步仿真所需的计算时间tc,其中tc=nc/f;
第五步:在离线环境下,设定控制系统实时仿真步长Δt;
第六步:在离线环境下,为Nc个控制子系统分配独立的FPGA硬件资源,同时根据上述选定的控制系统实时仿真步长Δt,计算Nc个控制子系统中各元件的具体仿真参数,并根据Nc个控制子系统中各元件的连接关系以及基于FPGA的控制系统元件输入输出模型,自动生成基于元件连接顺序进行求解的Nc个控制子系统求解模块;
第七步:在离线环境下,根据由电气系统读入的接口变量及个数Ni,以及需要向电气系统读出的接口变量及个数No,自动生成控制系统读入模块和控制系统输出模块;
第八步:在在线环境下,设置仿真时刻t=0;
第九步:开始下一时步的仿真,令t=t+Δt;
第十步:从控制系统读入模块的存储器RAMi中以串行形式读出Ni个接口数据,分配给Nc个控制子系统求解模块;同时控制系统每个时钟周期均检测电气系统是否将No个接口数据传递过来,将传递过来的接口数据存入存储器RAMi中;
第十一步:控制系统进行一个时步计算,对Nc个控制子系统进行仿真计算,求解出需要向电气系统读出的No个接口变量;其中Nc个控制子系统在基于FPGA的仿真框架中是通过分配独立的计算资源且并行求解的,并且控制系统求解时间由Nc个控制子系统中计算耗时最长者决定;
第十二步:将Nc个控制子系统求解出的No个接口变量按顺序组成串行数据流,并以串行的形式存入控制系统输出模块的存储器RAMo中,由存储器RAMo统一读出并存入电气系统的电力电子开关模块和电源模块的对应存储器中;
第十三步:判断仿真时间是否达到仿真终了时刻,如果达到仿真终了时刻,则仿真结束;否则返回第九步。
2.根据权利要求1所述的基于FPGA的控制系统实时仿真框架设计方法,其特征在于:在第十步中,所述的“从控制系统读入模块的存储器RAMi中以串行形式读出Ni个接口数据,分配给Nc个控制子系统求解模块”和“每个时钟周期均检测电气系统是否将No个接口数据传递过来,将传递过来的接口数据存入存储器RAMi中”这两个过程是完全独立的,并且能够并行进行。
CN201710681556.5A 2017-08-10 2017-08-10 基于fpga的控制系统实时仿真框架设计方法 Active CN107330231B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710681556.5A CN107330231B (zh) 2017-08-10 2017-08-10 基于fpga的控制系统实时仿真框架设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710681556.5A CN107330231B (zh) 2017-08-10 2017-08-10 基于fpga的控制系统实时仿真框架设计方法

Publications (2)

Publication Number Publication Date
CN107330231A CN107330231A (zh) 2017-11-07
CN107330231B true CN107330231B (zh) 2020-07-07

Family

ID=60199369

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710681556.5A Active CN107330231B (zh) 2017-08-10 2017-08-10 基于fpga的控制系统实时仿真框架设计方法

Country Status (1)

Country Link
CN (1) CN107330231B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101630988A (zh) * 2008-07-14 2010-01-20 华为技术有限公司 同步时钟的传递方法、装置和系统
EP2674876A1 (en) * 2012-06-14 2013-12-18 Alcatel Lucent Streaming analytics processing node and network topology aware streaming analytics system
CN103942372A (zh) * 2014-04-04 2014-07-23 天津大学 基于fpga的有源配电网暂态实时仿真多速率接口方法
CN104598352A (zh) * 2015-01-08 2015-05-06 西安空间无线电技术研究所 一种用于sram型fpga的快速可靠性评估方法
US9626165B1 (en) * 2013-09-12 2017-04-18 Altera Corporation Method and apparatus for generating systolic arrays on a target device using a high-level synthesis language
US9633041B2 (en) * 2013-09-26 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. File block placement in a distributed file system network

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10452800B2 (en) * 2015-06-17 2019-10-22 International Business Machines Corporation Routing of nets of an integrated circuit
US9973935B2 (en) * 2015-07-24 2018-05-15 Parallel Wireless, Inc. SON-controlled DFS
US9924519B2 (en) * 2015-09-24 2018-03-20 Qualcomm Incorporated Channel availability coordination for Wi-Fi and unlicensed bands using radio access network

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101630988A (zh) * 2008-07-14 2010-01-20 华为技术有限公司 同步时钟的传递方法、装置和系统
EP2674876A1 (en) * 2012-06-14 2013-12-18 Alcatel Lucent Streaming analytics processing node and network topology aware streaming analytics system
US9626165B1 (en) * 2013-09-12 2017-04-18 Altera Corporation Method and apparatus for generating systolic arrays on a target device using a high-level synthesis language
US9633041B2 (en) * 2013-09-26 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. File block placement in a distributed file system network
CN103942372A (zh) * 2014-04-04 2014-07-23 天津大学 基于fpga的有源配电网暂态实时仿真多速率接口方法
CN104598352A (zh) * 2015-01-08 2015-05-06 西安空间无线电技术研究所 一种用于sram型fpga的快速可靠性评估方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
《FPGA based fault detection and fault tolerance operation in DC-DC converters》;Jamshidpour E;《International Symposium on Power Electronics》;20141231;第37-42页 *
《基于现场可编程门阵列的分布式发电系统实时仿真相关问题研究》;丁承第 等;《电网技术》;20160731;第2202-2029页 *

Also Published As

Publication number Publication date
CN107330231A (zh) 2017-11-07

Similar Documents

Publication Publication Date Title
CN106649927B (zh) 一种基于fpga的电力电子元件实时仿真组合建模方法
Beerten et al. A sequential AC/DC power flow algorithm for networks containing multi-terminal VSC HVDC systems
CN103942372B (zh) 基于fpga的有源配电网暂态实时仿真多速率接口方法
CN102436534B (zh) 一种电力系统仿真方法
US10873184B2 (en) Power electronics converter based reconfigurable grid emulation platform
CN104423373A (zh) 柔性直流输电系统控制保护系统的闭环试验系统
Li et al. FPGA-based real-time simulation for EV station with multiple high-frequency chargers based on C-EMTP algorithm
CN105182791A (zh) 一种基于rtds的光伏发电系统数字物理混合仿真系统
CN103558478B (zh) 一种微电网变换器硬件在回路系统测试平台
CN107122562B (zh) 基于多fpga的有源配电网实时仿真器串行通讯方法
CN104698859A (zh) 分布式能源发电实验系统
Ruiz et al. Design methodologies and programmable devices used in power electronic converters—A survey
CN107330231B (zh) 基于fpga的控制系统实时仿真框架设计方法
CN105550385B (zh) 一种含分布式电源配电网的小步长暂态仿真方法及系统
Ignat et al. Renewable Energy Microgrid Model using MATLAB—Simulink
CN112783002A (zh) 一种直流配电网数模混合仿真方法和系统
Buraimoh et al. Laboratory procedure for real-time simulation experiment of renewable energy systems on OPAL-RT digital simulator
Grégoire et al. Real‐Time Simulation of Modular Multilevel Converters (MMCs)
Tang et al. Fpga-based real-time simulation for multiple energy storage systems
CN108563588B (zh) 基于fpga的有源配电网实时仿真器多速率接口设计方法
Han et al. Power flow optimization for DC distribution grid with distributed energy access based on Newton–Raphson method through upper level control
Chengdi et al. A design and implementation of FPGA-based real-time simulator for distribution system with DG integration
Venturi et al. Hardware in the loop simulation of DG integration to the distribution grid using RTDS and dSPACE
Chen et al. Modelling and simulation of AC–DC hybrid distribution network based on flexible DC interconnection
Debnath et al. Power Electronic Hardware-in-the-Loop (PE-HIL): Testing Individual Controllers in Large-Scale Power Electronics Systems

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant