CN106981417A - A kind of semiconductor devices and its manufacture method, electronic installation - Google Patents

A kind of semiconductor devices and its manufacture method, electronic installation Download PDF

Info

Publication number
CN106981417A
CN106981417A CN201610034509.7A CN201610034509A CN106981417A CN 106981417 A CN106981417 A CN 106981417A CN 201610034509 A CN201610034509 A CN 201610034509A CN 106981417 A CN106981417 A CN 106981417A
Authority
CN
China
Prior art keywords
layer
metal
dielectric layer
gate material
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610034509.7A
Other languages
Chinese (zh)
Other versions
CN106981417B (en
Inventor
邓浩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610034509.7A priority Critical patent/CN106981417B/en
Publication of CN106981417A publication Critical patent/CN106981417A/en
Application granted granted Critical
Publication of CN106981417B publication Critical patent/CN106981417B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The present invention provides a kind of semiconductor devices and its manufacture method, electronic installation, and methods described includes:There is provided and be formed with interlayer dielectric layer and the groove in interlayer dielectric layer in Semiconductor substrate, the Semiconductor substrate, the groove exposes the Semiconductor substrate;High k dielectric layer and workfunction setting metal layer are sequentially formed in the side wall of groove and bottom;Metal gate material layer is formed, groove is filled up completely with;The high k dielectric layer of etch-back and workfunction setting metal layer;Etch-back metal gate material layer;Deposited metal nitride layer, wraps up the metal gate material layer exposed;Another interlayer dielectric layer is formed, and the contact hole for exposing source/drain region and metal nitride layer is formed in interlayer dielectric layer.According to the present invention it is possible to reduce the thickness that metal gate material layer is removed by etch-back, and then reduce the resistance of metal gates, realize good gap filling.

Description

A kind of semiconductor devices and its manufacture method, electronic installation
Technical field
The present invention relates to semiconductor fabrication process, in particular to a kind of semiconductor devices and its manufacture method, electronic installation.
Background technology
In the manufacturing process of next generation's integrated circuit, for the making of the grid of field-effect transistor, generally using high k- metal gate process.For the field-effect transistor with compared with fractional value process node, the high k- metal gate process is usually post tensioned unbonded prestressed concrete technique, and its implementation process is two kinds of metal gates after metal gates after first high k dielectric layer and rear high k dielectric layer.
First the implementation process of metal gate process includes after high k dielectric layer:Dummy gate structure is formed on a semiconductor substrate, and the dummy gate structure is made up of the boundary layer being laminated from bottom to top, high k dielectric layer, coating (capping layer) and sacrificial gate material layer;Side wall construction is formed in the both sides of dummy gate structure, remove afterwards in the sacrificial gate material layer in dummy gate structure, the groove left between side wall construction and be sequentially depositing barrier layer (barrier layer), workfunction layers (workfunction metal layer) and soakage layer (wetting layer);Carry out the filling of metal gate material (being usually aluminium).
The implementation process of metal gate process includes after high k dielectric layer afterwards:Dummy gate structure is formed on a semiconductor substrate, and the dummy gate structure is made up of sacrificial gate dielectric layer and the sacrificial gate material layer being laminated from bottom to top;Side wall construction is formed in the both sides of dummy gate structure, remove afterwards in the sacrificial gate dielectric layer and sacrificial gate material layer in dummy gate structure, the groove left between side wall construction and be sequentially depositing boundary layer, high k dielectric layer, coating, barrier layer, workfunction layers and soakage layer;Carry out the filling of metal gate material.
, it is necessary to the coating at the top of covering metal gate material be formed, in favor of the making of follow-up self-aligned contacts after the filling of completion metal gate material.Prior art is using material of the silicon nitride as the coating at the top of covering metal gate material, but, because the material etch of silicon nitride and interlayer dielectric layer selects the limitation of ratio, need the metal gate material that etch-back is more, the thicker nitridation silicon covering layer of filling, thereby results in that metal gates resistance is too high and silicon nitride layer of filling be present.
It is, therefore, desirable to provide a kind of method, to solve the above problems.
The content of the invention
In view of the shortcomings of the prior art, the present invention provides a kind of manufacture method of semiconductor devices, including:
There is provided and be formed with interlayer dielectric layer and the groove in the interlayer dielectric layer in Semiconductor substrate, the Semiconductor substrate, the groove exposes the Semiconductor substrate;
High k dielectric layer and workfunction setting metal layer are sequentially formed in the side wall of the groove and bottom;
Metal gate material layer is formed, to be filled up completely with the groove;
High k dielectric layer described in etch-back and the workfunction setting metal layer reserve the gap of deposited metal nitride layer;
Metal gate material layer described in etch-back, makes the top of the metal gate material layer be less than the top of the interlayer dielectric layer;
Implement the deposition of the metal nitride layer, to wrap up the metal gate material exposed layer.
In one example, hydrofluoric acid and hydrogen peroxide of the corrosive liquid of etch-back for dilution are implemented to the high k dielectric layer and the workfunction setting metal layer.
In one example, the etch-back to metal gate material layer is reactive ion etching.
In one example, implement after the etch-back to metal gate material layer, the top of the metal gate material layer is higher than the high k dielectric layer and the top of the workfunction setting metal layer.
In one example, the metal nitride layer includes AlN layers.
In one example, the precursor for depositing described AlN layers is dimethylethyl amine aluminium alkane, and temperature is less than 350 degrees Celsius.
In one example, by circulating the dimethylethyl amine aluminium alkane soaking flushing implemented successively and NH3/N2Corona treatment, the making of described AlN layers of completion.
In one example, the step of after the deposition for implementing the metal nitride layer, in addition to forming another interlayer dielectric layer, and the contact hole for exposing source/drain region and the metal nitride layer formed in the interlayer dielectric layer.
In one embodiment, the present invention also provides a kind of semiconductor devices of use above method manufacture.
In one embodiment, the present invention also provides a kind of electronic installation, and the electronic installation includes the semiconductor devices.
According to the present invention it is possible to reduce the thickness that the metal gate material layer is removed by etch-back, and then reduce the resistance of metal gates, realize good gap filling.
Brief description of the drawings
The drawings below of the present invention is used to understand the present invention in this as the part of the present invention.Shown in the drawings of embodiments of the invention and its description, for explaining the principle of the present invention.
In accompanying drawing:
Figure 1A-Fig. 1 H are the schematic cross sectional view of the device obtained respectively the step of implementation successively according to the method for exemplary embodiment of the present one;
Fig. 2 is flow chart the step of implementation successively according to the method for exemplary embodiment of the present one.
Embodiment
In the following description, a large amount of concrete details are given to provide more thorough understanding of the invention.It is, however, obvious to a person skilled in the art that the present invention can be carried out without one or more of these details.In other examples, in order to avoid obscuring with the present invention, it is not described for some technical characteristics well known in the art.
It should be appreciated that the present invention can be implemented in different forms, and it should not be construed as being limited to embodiments presented herein.On the contrary, providing these embodiments disclosure will be made thoroughly and complete, and will fully convey the scope of the invention to those skilled in the art.In the accompanying drawings, for clarity, the size and relative size in Ceng He areas may be exaggerated.Same reference numerals represent identical element from beginning to end.
It is understood that, be referred to as when element or layer " ... on ", " with ... it is adjacent ", " being connected to " or when " being coupled to " other elements or layer, its can directly on other elements or layer, it is adjacent thereto, be connected or coupled to other elements or layer, or there may be element or layer between two parties.On the contrary, when element is referred to as " on directly existing ... ", " with ... direct neighbor ", " being directly connected to " or " being directly coupled to " other elements or layer, then in the absence of element or layer between two parties.Although it should be understood that term first, second, third, etc. can be used to describe various elements, part, area, floor and/or part, these elements, part, area, floor and/or part should not be limited by these terms.These terms are used merely to distinguish an element, part, area, floor or part and another element, part, area, floor or part.Therefore, do not depart from present invention teach that under, the first element discussed below, part, area, floor or part be represented by the second element, part, area, floor or part.
Spatial relationship term such as " ... under ", " ... below ", " below ", " ... under ", " ... on ", " above ", can describe for convenience herein and by using so as to the element or feature shown in description figure and other elements or the relation of feature.It should be understood that in addition to the orientation shown in figure, spatial relationship term is intended to also including the use of the different orientation with the device in operation.If for example, the device upset in accompanying drawing, then, be described as " below other elements " or " under it " or " under it " element or feature will be oriented to other elements or feature " on ".Therefore, exemplary term " ... below " and " ... under " may include it is upper and lower two orientation.Device can be additionally orientated and (be rotated by 90 ° or other orientations) and spatial description language as used herein is correspondingly explained.
The purpose of term as used herein is only that description specific embodiment and not as the limitation of the present invention.Herein in use, " one " of singulative, " one " and " described/should " be also intended to include plural form, unless context is expressly noted that other mode.It is also to be understood that term " composition " and/or " comprising ", when using in this specification, the presence of the feature, integer, step, operation, element and/or part is determined, but is not excluded for the presence or addition of one or more other features, integer, step, operation, element, part and/or group.Herein in use, term "and/or" includes any and all combination of related Listed Items.
In the manufacturing process of next generation's integrated circuit, for the making of the grid of field-effect transistor, generally using high k- metal gate process., it is necessary to the coating at the top of covering metal gate material be formed, in favor of the making of follow-up self-aligned contacts after filling metal gate material in the groove that removal dummy gate structure leaves.Prior art is using material of the silicon nitride as the coating at the top of covering metal gate material, but, because the material etch of silicon nitride and interlayer dielectric layer selects the limitation of ratio, need the metal gate material that etch-back is more, the thicker nitridation silicon covering layer of filling, thereby results in that metal gates resistance is too high and silicon nitride layer of filling be present.
In order to solve the above problems, as shown in Fig. 2 the invention provides a kind of manufacture method of semiconductor devices, this method includes:
In step 201 there is provided Semiconductor substrate, dummy gate structure, including the sacrifice gate dielectric layer and sacrificial gate dielectric layer being laminated from bottom to top are formed with a semiconductor substrate;
In step 202., interlayer dielectric layer is formed on a semiconductor substrate, to fill the gap between dummy gate structure;
In step 203, dummy gate structure is removed, groove is formed;
In step 204, high k dielectric layer and workfunction setting metal layer are sequentially formed in the side wall of groove and bottom;
In step 205, metal gate material layer is formed, to be filled up completely with groove;
In step 206, the high k dielectric layer of etch-back and workfunction setting metal layer;
In step 207, etch-back metal gate material layer;
In a step 208, deposited metal nitride layer, to wrap up the metal gate material exposed layer.
Metal nitride selection is compared to silicon nitride with higher etching selection rate and more easily in the material of metal gates deposited atop, such as AlN.AlN is far longer than silicon nitride relative to the etching selection rate for the oxide for constituting interlayer dielectric layer, and it is easier to be deposited on the top of metal gates relative to silicon nitride, while it also has good space filling capacity, is adapted as the coating at the top of metal gates.
According to the manufacture method of semiconductor devices proposed by the present invention, implementation steps 206 are to reserve the gap of deposited metal nitride layer, implementation steps 207 are so that the top of metal gate material layer is less than the top of interlayer dielectric layer, because metal nitride layer is thinner relative to what silicon nitride can be formed, therefore the thickness that the metal gate material layer is removed by etch-back can be reduced in step 207, and then reduces the resistance of metal gates;Implementation steps 208, deposited metal nitride layer can realize good gap filling.
Also include after step 208 in step 209, form another interlayer dielectric layer, and the contact hole for exposing source/drain region and metal nitride layer is formed in interlayer dielectric layer.
In order to thoroughly understand the present invention, detailed structure and/or step will be proposed in following description, to explain technical scheme proposed by the present invention.Presently preferred embodiments of the present invention is described in detail as follows, but in addition to these detailed descriptions, the present invention can also have other embodiment.
[exemplary embodiment one]
Reference picture 1A- Fig. 1 H, the schematic cross sectional view for the device that the step of method that illustrated therein is according to an exemplary embodiment of the present one is implemented successively obtains respectively.
First, as shown in Figure 1A there is provided Semiconductor substrate 100, the constituent material of Semiconductor substrate 100 can use undoped with monocrystalline silicon, the monocrystalline silicon doped with impurity, silicon-on-insulator (SOI) etc..As an example, in the present embodiment, Semiconductor substrate 100 is constituted from single crystal silicon material.
Isolation structure is formed with Semiconductor substrate 100, as an example, isolation structure, which is shallow trench, isolates (STI) structure or selective oxidation silicon (LOCOS) isolation structure.100 points of Semiconductor substrate is different transistor areas by isolation structure, for example, isolation structure by Semiconductor substrate 100 points be PMOS areas and nmos area.Various traps (well) structure is also formed with Semiconductor substrate 100, to put it more simply, being omitted in diagram.
Dummy gate structure 102 is formed with a semiconductor substrate 100, and as an example, dummy gate structure 102 may include the sacrifice gate dielectric layer 102a being laminated from bottom to top and sacrificial gate dielectric layer 102b.
Sacrifice gate dielectric layer 102a material preferred oxides, such as silica.Sacrificial gate dielectric layer 102b material includes polysilicon or amorphous carbon, particularly preferably polysilicon.Sacrifice any prior art that gate dielectric layer 102a and sacrificial gate dielectric layer 102b forming method can be familiar with using those skilled in the art, it is preferred that chemical vapour deposition technique (CVD), such as low temperature chemical vapor deposition (LTCVD), low-pressure chemical vapor deposition (LPCVD), fast thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD).
In addition, as an example, be formed with side wall construction 103 in the both sides of dummy gate structure 102, wherein, side wall construction 103 at least includes oxide skin(coating) and/or nitride layer.The method for forming side wall construction 103 is known to those skilled in the art, is not repeated here herein.
Source/drain region is formed with the Semiconductor substrate 100 of the both sides of side wall construction 103, embedded carbon silicon layer and embedded germanium silicon layer are respectively formed with the source/drain region positioned at nmos area and PMOS areas.As a rule, the U-shaped profile of embedded carbon silicon layer, the cross section of embedded germanium silicon layer is in ∑ shape, with the carrier mobility for the channel region for further enhancing nmos area and PMOS areas, to put it more simply, being omitted in diagram.The technical process for forming embedded carbon silicon layer and embedded germanium silicon layer is familiar with by those skilled in the art, is not repeated here herein.
Next, interlayer dielectric layer 105 is formed on a semiconductor substrate 100, covering dummy gate structure 102 and side wall construction 103.Then, cmp is performed, until exposing the top of dummy gate structure 102.
Before interlayer dielectric layer 105 is formed, contact etch stop layer 104, covering dummy gate structure 102 and side wall construction 103 can also be formed on a semiconductor substrate 100.The various suitable techniques being familiar with using those skilled in the art form contact etch stop layer 104 and interlayer dielectric layer 105 respectively, for example, using conformal deposition process formation contact etch stop layer 104, using chemical vapor deposition method formation interlayer dielectric layer 105, wherein, silicon nitride (SiN) may be selected in the material of contact etch stop layer 104, and oxide may be selected in the material of interlayer dielectric layer 105.
Then, as shown in Figure 1B, dummy gate structure 102 is removed, groove is formed.As an example, by implementing dry etching, sacrificial gate dielectric layer 102b being removed successively and gate dielectric layer 102a is sacrificed.The technological parameter of the dry etching includes:Etching gas HBr flow is 20-500sccm, and pressure is 2-40mTorr, and power is 100-2000W, and wherein mTorr represents milli millimetres of mercury, and sccm represents cc/min.
After the dry etching is implemented, etch residues and impurity that the dry etching is produced are removed using wet etching process.
Then, as shown in Figure 1 C, high k dielectric layer 107 is formed in the side wall of groove and bottom.The k values (dielectric constant) of high k dielectric layer 107 are usually more than 3.9, its constituent material includes hafnium oxide, hafnium silicon oxide, nitrogen oxidation hafnium silicon, hafnium oxide tantalum, hafnium oxide zirconium, nitrogen oxidation hafnium zirconium, hafnium oxide lanthanum, lanthana, lanthana silicon, zirconium oxide, zirconium silicon oxide, titanium oxide, tantalum oxide, strontium barium oxide titanium, barium monoxide titanium, strontium oxide strontia titanium, aluminum oxide, alumina silicon, the suitable material that silicon nitride, oxynitride etc. can be formed by chemical vapor deposition, ald or physical gas-phase deposition.
Next, forming workfunction setting metal layer 108 in high k dielectric layer 107.For the PMOS areas of device, workfunction setting metal layer 108 includes one or more layers metal or metallic compound, its constituent material is carbide, nitride of the metal material suitable for PMOS, including titanium, ruthenium, palladium, platinum, tungsten and its alloy, in addition to above-mentioned metallic element etc..For the nmos area of device, workfunction setting metal layer 108 includes one or more layers metal or metallic compound, its constituent material is carbide, nitride of the metal material suitable for NMOS, including titanium, tantalum, aluminium, zirconium, hafnium and its alloy, in addition to above-mentioned metallic element etc..
In addition, being formed before high k dielectric layer 107, boundary layer 106 is formed in the bottom of groove.The constituent material of boundary layer 106 includes the suitable material that thermal oxide, nitrogen oxides, chemical oxide etc. can be formed by handling process in chemical vapor deposition, ald or stove, and the effect for forming boundary layer 106 is to improve the interfacial characteristics between the high k dielectric layer 107 being subsequently formed and Semiconductor substrate 100.Then, annealing is implemented, to improve the surface characteristic of boundary layer 106, this helps to form the high k dielectric layer 107 with good conformability on boundary layer 106.
In addition, formed in high k dielectric layer 107 before workfunction setting metal layer 108, form coating, the constituent material of coating includes lanthana, aluminum oxide, gallium oxide, indium oxide, molybdenum oxide ramet, oxygen nitrogen ramet, tantalum nitride, titanium nitride, molybdenum nitride, tungsten nitride, platinum, ruthenium, iridium etc. can be by the suitable material of chemical vapor deposition, ald or physical gas-phase deposition formation, and the effect for forming coating is to prevent diffusion of the metal material in the metal gate material layer 109 that is subsequently formed to high k dielectric layer 107.
Then, as shown in figure iD, metal gate material layer 109 is formed, to be filled up completely with groove.The material of metal gate material layer includes the suitable material that tungsten, aluminium etc. can be formed by chemical vapor deposition, ald or physical gas-phase deposition.
In addition, formed before metal gate material layer 109, soakage layer is formed on workfunction setting metal layer 108, the material of soakage layer includes titanium or titanium-aluminium alloy, the effect for forming soakage layer is to improve the interfacial characteristics between workfunction setting metal layer 108 and metal gate material layer 109.
Then, as referring to figure 1E, the high k dielectric layer 107 of etch-back and workfunction setting metal layer 108.As an example, hydrofluoric acid and hydrogen peroxide of the corrosive liquid of the etch-back for dilution.Implement after the etch-back, not exclusively expose metal gate material layer 109, the soakage layer for during implementing the etch-back, be formed at the coating between high k dielectric layer 107 and workfunction setting metal layer 108, being formed at workfunction setting metal layer 108 between metal gate material layer 109 is also synchronously etched.
Then, as shown in fig. 1F, etch-back metal gate material layer 109.As an example, implementing the etch-back using reactive ion etching process.Implement after the etch-back, the top of metal gate material layer 109 is higher than the top of high k dielectric layer 107 and workfunction setting metal layer 108.
Then, as shown in Figure 1 G, deposited metal nitride layer 110, to wrap up the metal gate material exposed layer 109.
The material selection for constituting metal nitride layer 110 compares silicon nitride with higher etching selection rate and more easily in the material of metal gates deposited atop, such as AlN.AlN is far longer than silicon nitride relative to the etching selection rate for the oxide for constituting interlayer dielectric layer, and it is easier to be deposited on the top of metal gates relative to silicon nitride, while it also has good space filling capacity, is adapted as the coating at the top of metal gates.
Using AlN as example, the precursor of the deposition is dimethylethyl amine aluminium alkane, by circulating the dimethylethyl amine aluminium alkane soaking flushing implemented successively and NH3/N2Corona treatment, completes the making of metal nitride layer 110.
Because the bond energy of Al-N coordinate bonds is relatively low, therefore, dimethylethyl amine aluminium alkane can just be decomposed when temperature is less than 350 degrees Celsius, and the AlN decomposited is easy to be attached on metal gate material layer 109 and be not easy to be attached on insulator, so as to realize that gapless is deposited.
Then, as shown in fig. 1H, interlayer dielectric layer 105 is formed again, covers Semiconductor substrate 100.Then, the contact hole 111 for exposing source/drain region and AlN layers 110 is formed in interlayer dielectric layer 105.As an example, using anisotropic dry etching formation contact hole 111.
So far, the processing step that according to an exemplary embodiment of the present one method is implemented is completed.It is understood that the present embodiment manufacturing method of semiconductor device not only include above-mentioned steps, before above-mentioned steps, among or may also include other desired step afterwards, it is included in the range of this implementation preparation method.
Compared with the prior art, according to the proposed method, by forming metal nitride layer 110, the thickness of the coating at the top of covering metal gate material layer 109 can be reduced, reduce the thickness that metal gate material layer 109 is removed by etch-back, and then reducing the resistance of metal gates, metal nitride layer 110 is easy to be attached on metal gate material layer 109 and be not easy to be attached on interlayer dielectric layer 105, so as to realize good gap filling.
[exemplary embodiment two]
The semiconductor devices that the processing step implemented first there is provided according to an exemplary embodiment of the present one method is obtained, as shown in fig. 1H, including:Semiconductor substrate 100, isolation structure and various traps (well) structure are formed with Semiconductor substrate 100, as an example, isolation structure, which is shallow trench, isolates (STI) structure or selective oxidation silicon (LOCOS) isolation structure.
High k- metal gate structures on a semiconductor substrate 100 are formed, as an example, the high k- metal gate structures include boundary layer 106, high k dielectric layer 107, workfunction setting metal layer 108 and the metal gate material layer 109 being laminated from bottom to top.
The constituent material of boundary layer 106 includes the suitable material that thermal oxide, nitrogen oxides, chemical oxide etc. can be formed by handling process in chemical vapor deposition, ald or stove, and the effect for forming boundary layer 106 is to improve the interfacial characteristics between the high k dielectric layer 107 being subsequently formed and Semiconductor substrate 100.
The k values (dielectric constant) of high k dielectric layer 107 are usually more than 3.9, its constituent material includes hafnium oxide, hafnium silicon oxide, nitrogen oxidation hafnium silicon, hafnium oxide tantalum, hafnium oxide zirconium, nitrogen oxidation hafnium zirconium, hafnium oxide lanthanum, lanthana, lanthana silicon, zirconium oxide, zirconium silicon oxide, titanium oxide, tantalum oxide, strontium barium oxide titanium, barium monoxide titanium, strontium oxide strontia titanium, aluminum oxide, alumina silicon, the suitable material that silicon nitride, oxynitride etc. can be formed by chemical vapor deposition, ald or physical gas-phase deposition.
For the PMOS areas of device, workfunction setting metal layer 108 includes one or more layers metal or metallic compound, its constituent material is carbide, nitride of the metal material suitable for PMOS, including titanium, ruthenium, palladium, platinum, tungsten and its alloy, in addition to above-mentioned metallic element etc..For the nmos area of device, workfunction setting metal layer 108 includes one or more layers metal or metallic compound, its constituent material is carbide, nitride of the metal material suitable for NMOS, including titanium, tantalum, aluminium, zirconium, hafnium and its alloy, in addition to above-mentioned metallic element etc..
The metal nitride layer 110 of coated metal gate material layers 109, the material selection for constituting metal nitride layer 110 compares silicon nitride with higher etching selection rate and more easily in the material of metal gates deposited atop, such as AlN.AlN is far longer than silicon nitride relative to the etching selection rate for the oxide for constituting interlayer dielectric layer, and it is easier to be deposited on the top of metal gates relative to silicon nitride, while it also has good space filling capacity, is adapted as the coating at the top of metal gates.
Using AlN as example, by circulating the dimethylethyl amine aluminium alkane soaking flushing implemented successively and NH3/N2Corona treatment, completes the making of metal nitride layer 110.
Because the bond energy of Al-N coordinate bonds is relatively low, therefore, dimethylethyl amine aluminium alkane can just be decomposed when temperature is less than 350 degrees Celsius, and the AlN decomposited is easy to be attached on metal gate material layer 109 and be not easy to be attached on insulator, so as to realize that gapless is filled.
The side wall construction 103 positioned at the high k- metal gate structures both sides on a semiconductor substrate 100 is formed, side wall construction 103 is made up of oxide, nitride or combination.
Interlayer dielectric layer 105 on a semiconductor substrate 100 is formed, interlayer dielectric layer 105 covers the high k- metal gate structures and side wall construction 103;The contact hole 111 in interlayer dielectric layer 105 is formed, exposes the top of AlN layers 110 and the top for the source/drain region being formed in Semiconductor substrate 100.
Then, the making of whole semiconductor devices is completed by subsequent technique, including:Self-aligned silicide is formed in the bottom of contact hole 111;Filling metal (being usually tungsten) forms the contact plug of interconnecting metal layer and the self-aligned silicide that connection is subsequently formed in contact hole 111;Multiple interconnecting metal layers are formed, are generally completed using dual damascene process;Form metal pad, wire bonding when being encapsulated for subsequent implementation device.
[exemplary embodiment three]
The present invention also provides a kind of electronic installation, and it includes according to an exemplary embodiment of the present two semiconductor devices.The electronic installation can be any electronic product such as mobile phone, tablet personal computer, notebook computer, net book, game machine, television set, VCD, DVD, navigator, camera, video camera, recording pen, MP3, MP4, PSP or equipment or any include the intermediate products of the semiconductor devices.The electronic installation, due to having used the semiconductor devices, thus with better performance.
The present invention is illustrated by above-described embodiment, but it is to be understood that, the purpose that above-described embodiment is only intended to illustrate and illustrated, and be not intended to limit the invention in described scope of embodiments.In addition it will be appreciated by persons skilled in the art that the invention is not limited in above-described embodiment, more kinds of variants and modifications can also be made according to the teachings of the present invention, these variants and modifications are all fallen within scope of the present invention.Protection scope of the present invention is defined by the appended claims and its equivalent scope.

Claims (10)

1. a kind of manufacture method of semiconductor devices, it is characterised in that including:
There is provided and be formed with interlayer dielectric layer and positioned at institute in Semiconductor substrate, the Semiconductor substrate The groove in interlayer dielectric layer is stated, the groove exposes the Semiconductor substrate;
High k dielectric layer and work function setting gold are sequentially formed in the side wall of the groove and bottom Belong to layer;
Metal gate material layer is formed, to be filled up completely with the groove;
High k dielectric layer described in etch-back and the workfunction setting metal layer, reserve deposited metal The gap of nitride layer;
Metal gate material layer described in etch-back, is less than the top of the metal gate material layer The top of the interlayer dielectric layer;
Implement the deposition of the metal nitride layer, to wrap up the metal gate material exposed Layer.
2. according to the method described in claim 1, it is characterised in that to the high k dielectric Layer and the workfunction setting metal layer implement etch-back corrosive liquid for dilution hydrofluoric acid and Hydrogen peroxide.
3. according to the method described in claim 1, it is characterised in that to the metal gates The etch-back of material layer is reactive ion etching.
4. according to the method described in claim 1, it is characterised in that implement to the metal After the etch-back of gate material layers, the top of the metal gate material layer is higher than the high k The top of dielectric layer and the workfunction setting metal layer.
5. according to the method described in claim 1, it is characterised in that the metal nitride Layer includes AlN layers.
6. method according to claim 5, it is characterised in that described AlN layers of deposition Precursor be dimethylethyl amine aluminium alkane, temperature be less than 350 degrees Celsius.
7. method according to claim 5, it is characterised in that real successively by circulation The dimethylethyl amine aluminium alkane soaking flushing and NH applied3/N2Corona treatment, completes institute State AlN layers of making.
8. according to the method described in claim 1, it is characterised in that implement the metal nitrogen After the deposition of compound layer, in addition to another interlayer dielectric layer is formed, and in the interlayer dielectric The step of contact hole for exposing source/drain region and the metal nitride layer is formed in layer.
9. the semiconductor devices of the method manufacture described in a kind of one of use claim 1-8.
10. a kind of electronic installation, it is characterised in that the electronic installation includes claim 9 Described semiconductor devices.
CN201610034509.7A 2016-01-19 2016-01-19 Semiconductor device, manufacturing method thereof and electronic device Active CN106981417B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610034509.7A CN106981417B (en) 2016-01-19 2016-01-19 Semiconductor device, manufacturing method thereof and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610034509.7A CN106981417B (en) 2016-01-19 2016-01-19 Semiconductor device, manufacturing method thereof and electronic device

Publications (2)

Publication Number Publication Date
CN106981417A true CN106981417A (en) 2017-07-25
CN106981417B CN106981417B (en) 2020-03-06

Family

ID=59340599

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610034509.7A Active CN106981417B (en) 2016-01-19 2016-01-19 Semiconductor device, manufacturing method thereof and electronic device

Country Status (1)

Country Link
CN (1) CN106981417B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627992A (en) * 2020-06-05 2020-09-04 福建省晋华集成电路有限公司 Grid structure and manufacturing method thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052074A1 (en) * 2008-08-26 2010-03-04 Chien-Ting Lin Metal gate transistor and method for fabricating the same
CN103578954A (en) * 2012-07-31 2014-02-12 台湾积体电路制造股份有限公司 Semiconductor integrated circuit with metal gate
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
CN103855095A (en) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN104752350A (en) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN105097689A (en) * 2014-05-12 2015-11-25 中芯国际集成电路制造(上海)有限公司 Method of manufacturing semiconductor device
CN105632908A (en) * 2014-11-06 2016-06-01 中芯国际集成电路制造(上海)有限公司 Semiconductor structure forming method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052074A1 (en) * 2008-08-26 2010-03-04 Chien-Ting Lin Metal gate transistor and method for fabricating the same
CN103578954A (en) * 2012-07-31 2014-02-12 台湾积体电路制造股份有限公司 Semiconductor integrated circuit with metal gate
US20140070320A1 (en) * 2012-09-07 2014-03-13 Srijit Mukherjee Integrated circuits with selective gate electrode recess
CN103855095A (en) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN104752350A (en) * 2013-12-27 2015-07-01 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN105097689A (en) * 2014-05-12 2015-11-25 中芯国际集成电路制造(上海)有限公司 Method of manufacturing semiconductor device
CN105632908A (en) * 2014-11-06 2016-06-01 中芯国际集成电路制造(上海)有限公司 Semiconductor structure forming method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627992A (en) * 2020-06-05 2020-09-04 福建省晋华集成电路有限公司 Grid structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN106981417B (en) 2020-03-06

Similar Documents

Publication Publication Date Title
CN104835780B (en) Semiconductor structure and its manufacturing method
KR101887414B1 (en) Semiconductor device and method for manufacturing the device
CN103311185B (en) Method of hybrid high-k/metal-gate stack fabrication
CN106257620B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN105336609A (en) Fin FET device and manufacturing method thereof, and electronic device
KR20150055219A (en) Method of manufacturing semiconductor device
CN107785248A (en) A kind of semiconductor devices and its manufacture method, electronic installation
US20100203702A1 (en) Method for forming isolation layer and method for fabricating nonvolatile memory device using the same
CN105244318B (en) A kind of semiconductor devices and its manufacturing method and electronic device
CN104916590B (en) A kind of semiconductor devices and its manufacturing method
CN105244265B (en) A kind of semiconductor devices and preparation method thereof and electronic device
CN106981417A (en) A kind of semiconductor devices and its manufacture method, electronic installation
CN105097693B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN105990237B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN106328591B (en) Semiconductor device, manufacturing method thereof and electronic device
CN105405751B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN105097688B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN106298663B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN104952714A (en) Manufacturing method for semiconductor device
CN105097695A (en) Semiconductor device, manufacturing method thereof and electronic device
CN105632909B (en) A kind of semiconductor devices and its manufacturing method, electronic device
TWI636491B (en) Memory device and method of fabricating the same
CN105097469B (en) A kind of semiconductor devices and its manufacturing method, electronic device
CN106298662B (en) A kind of semiconductor devices and preparation method thereof and electronic device
CN104916588A (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant