CN105989200B - 模数转换器的仿真方法和装置 - Google Patents

模数转换器的仿真方法和装置 Download PDF

Info

Publication number
CN105989200B
CN105989200B CN201510049944.2A CN201510049944A CN105989200B CN 105989200 B CN105989200 B CN 105989200B CN 201510049944 A CN201510049944 A CN 201510049944A CN 105989200 B CN105989200 B CN 105989200B
Authority
CN
China
Prior art keywords
analog
digital converter
device parameters
input
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510049944.2A
Other languages
English (en)
Other versions
CN105989200A (zh
Inventor
刘锦辉
赵小冬
王芳
张亚棣
王泉
刘刚
万波
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xidian University
Kunshan Innovation Institute of Xidian University
Original Assignee
Xidian University
Kunshan Innovation Institute of Xidian University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xidian University, Kunshan Innovation Institute of Xidian University filed Critical Xidian University
Priority to CN201510049944.2A priority Critical patent/CN105989200B/zh
Publication of CN105989200A publication Critical patent/CN105989200A/zh
Application granted granted Critical
Publication of CN105989200B publication Critical patent/CN105989200B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Analogue/Digital Conversion (AREA)

Abstract

本发明公开了一种模数转换器的仿真方法和装置,其中,该方法包括:接收用户输入的器件参数;调用预先配置的模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;基于输入的器件参数以及调用的变化关系,对模数转换器进行仿真。本发明通过根据输入的器件参数以及器件参数对模数转换器输出的影响对模数转换器进行仿真,使得仿真的过程能够考虑到模数转换器本身的性能,从而使仿真更加准确、客观。

Description

模数转换器的仿真方法和装置
技术领域
本发明涉及电路仿真领域,并且特别地,涉及一种模数转换器(ADC)的仿真方法和装置。
背景技术
模数转换器在信号处理系统中是必不可少的器件,其功能是将时域和幅度上都连续变化的模拟信号转换为时间上离散、幅值上量化的等效数字信号。建立能够正确描述ADC性能参数的仿真模型可以预先对系统中可能出现的问题作出分析,从而提出解决方案,提高了设计效率。
在ADC建模仿真方面,已经有人进行了研究工作,并取得了一定的成果。但是,ADC是典型的混合信号系统,而传统的建模和仿真方法是基于SPICE,该方法只能进行晶体管级建模,不支持对数字系统的行为级描述,因此仿真模型比较复杂耗时。
针对相关技术中对于模数转换器建模和仿真较为的问题,目前尚未提出有效的解决方案。
发明内容
针对相关技术中的问题,本发明提出一种模数转换器的仿真方法和装置,能够使得对模数转换器的仿真更加准确、客观。
本发明的技术方案是这样实现的:
根据本发明的一个方面,提供了一种模数转换器的仿真方法。
根据本发明的模数转换器的仿真方法包括:接收用户输入的器件参数;调用预先配置的模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;基于输入的器件参数以及调用的变化关系,对模数转换器进行仿真。
其中,在对模数转换器进行仿真时,对于多种器件参数中被用户输入的器件参数,将输入的参数值带入被调用的相应变化关系中;对于多种器件参数中未被用户输入的器件参数,设置为默认值并带入被调用的相应变化关系中。
并且,该方法可以进一步包括:
接收环境参数;
根据预先配置的环境参数与模数转换器的器件参数之间的相对变化关系,对用户输入的器件参数的参数值和未被用户输入的器件参数的默认值进行调整;
并且,在对模数转换器进行仿真时,基于调整后的结果进行仿真。
进一步地,该方法可以进一步包括:
预先配置环境参数与模数转换器的器件参数之间的相对变化关系,并以函数的方式表示相对变化关系;
可选地,上述输入的环境参数包括以下至少之一:
温度参数、气压参数、辐照参数、磁场参数。
可选地,上述模数转换器的多种器件参数包括以下至少之一:
分辨率、量化误差、偏移误差、满刻度误差、微分非线性误差、积分非线性误差、信噪比、信噪失真比、总谐波失真、无杂散动态范围、有效位数。
此外,上述模数转换器的模型预先基于VHDL-AMS建模,其中,模数转换器的模型中包含多种器件参数与模数转换器的输出结果之间的相对变化关系,并且提供了用于接收多种器件参数的接口。
并且,上述模数转换器的模型为通过行为级建模得到。
根据本发明的另一方面,还提供了一种模数转换器的仿真装置。
该装置可以包括:
接收模块,用于接收用户输入的器件参数;
调用模块,用于调用预先配置的模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;
仿真模块,用于基于输入的器件参数以及调用的变化关系,对模数转换器进行仿真。
根据本发明的再一方面,还提供了一种模数转换器的建模方法,该建模方法基于VHDL-AMS、且以行为级建模的方式对模数转换器进行建模。
其中,建模方法包括:配置并保存模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;配置用于接收多种器件参数中部分或全部参数的接口。
本发明通过根据输入的器件参数以及器件参数对模数转换器输出的影响对模数转换器进行仿真,使得仿真的过程能够考虑到模数转换器本身的性能,从而使仿真更加准确、客观。
附图说明
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1是根据本发明实施例的模数转换器的仿真方法的流程图;
图2是模数转换器的转换原理示意图;
图3是示出模数转换器的量化误差的示意图;
图4是示出模数转换器的偏移误差的示意图;
图5是示出模数转换器的满刻度误差的示意图;
图6是示出模数转换器的积分非线性误差和微分非线性误差的示意图;
图7是根据本发明实施例的模数转换器的模型示意图;
图8是实现噪声模块时所基于的均匀分布概率示意图;
图9是示出加法器连接关系的示意图;
图10是AD转换模块的转换流程图;
图11是信号输入和采样保持的仿真图;
图12是噪声信号波形的仿真图;
图13是不同分辨率的数字输出波形图;
图14是偏移误差仿真结果图;
图15是满量程误差的仿真结果图;
图16是噪声干扰的仿真结果图;
图17是FFT变换的示意图;
图18是微分和积分非线性误差的仿真结果图;
图19是带有噪声的FFT变换的示意图;
图20是带有噪声的微分和积分非线性误差的仿真结果图;
图21是根据本发明实施例的模数转换器的仿真装置的框图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员所获得的所有其他实施例,都属于本发明保护的范围。
根据本发明的实施例,提供了一种模数转换器的仿真方法。
如图1所示,根据本发明实施例的模数转换器的仿真方法包括:
步骤S101,接收用户输入的器件参数;
步骤S103,调用预先配置的模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;
步骤S105,基于输入的器件参数以及调用的变化关系,对模数转换器进行仿真。
其中,在对模数转换器进行仿真时,对于多种器件参数中被用户输入的器件参数,将输入的参数值带入被调用的相应变化关系中;对于多种器件参数中未被用户输入的器件参数,设置为默认值并带入被调用的相应变化关系中。
也就是说,在根据本发明实施例的模数转换器的模型中,包含外部环境参数的接口,并且可以理解为,将模数转换器的模型(包括接收器件参数的接口以及器件参数与输出之间的相对变化关系)进行一次封装,在该封装之外再进行一次外层封装,外层的封装包含输入外部环境参数的接口,在进行仿真时,模数转换器的器件参数(不论是输入的器件参数还是被设置为默认值的器件参数)均会首先受到输入的环境参数的作用而发生变化,在仿真模数转换器的输出时,所基于的是因为环境参数而变化后的器件参数。
此外,为了使得仿真结果能够考虑到环境的影响,根据本发明实施例的仿真方法可以进一步包括:
接收环境参数;
根据预先配置的环境参数与模数转换器的器件参数之间的相对变化关系,对用户输入的器件参数的参数值和未被用户输入的器件参数的默认值进行调整;
并且,在对模数转换器进行仿真时,基于调整后的结果进行仿真。
为了让环境参数与模数转换器的参数之间存在关联,该仿真方法可以进一步包括:预先配置环境参数与模数转换器的器件参数之间的相对变化关系,并以函数的方式表示相对变化关系。
可选地,上述环境参数可以包括以下至少之一:温度参数、气压参数、辐照参数、磁场参数。
可选地,模数转换器的多种器件参数包括以下至少之一:
分辨率、量化误差、偏移误差、满刻度误差、微分非线性误差、积分非线性误差、信噪比、信噪失真比、总谐波失真、无杂散动态范围、有效位数。
应当注意的是,这里所列举的环境参数和器件参数仅仅用于说明,而并不用于限制本发明的保护范围。
此外,在一个实施例中,模数转换器的模型预先基于VHDL-AMS建模,其中,模数转换器的模型中包含多种器件参数与模数转换器的输出结果之间的相对变化关系,并且提供了用于接收多种器件参数的接口。
另外,模数转换器的模型可以通过行为级建模得到。这里的行为级建模是指根据器件的输入/输出外特性参数或者传输函数来构造模型,这种方式的建模关注于电路器件或原件的工作原理,而无需理解具体元件的内部机理,模型参数可通过直接测量而获得。通过行为级的建模方式来对模数转换器进行建模,能够有效提高建模和仿真的效率,并且使得模数转换器的模型具有更好的通用性,而不仅仅局限于某一种具有特定结构或特性的模数转换器。
此外,上述VHDL-AMS是VHDL语言针对模拟和混合信号领域的扩展。通过统一的、混合信号建模方式,为数字和模拟系统架起桥梁,并且VHDL-AMS覆盖了不同层次的设计,允许设计者在行为级、功能级和晶体管级描述系统模型。它还可以描述如机械、流体等非电力物理系统的行为。这些特性使得VHDL-AMS适用于非常广泛的领域。
下面将以VHDL-AMS为例并结合ADC的基本原理描述本发明的技术方案。
(1)ADC基本原理及参数指标
ADC的基本转换原理如图2所示。参照图2,在模拟信号输入后,首先是以固定时间进行采样,这个时间称为采样周期,在每个采样时刻对模拟信号采样并保持到下一次采样,该过程称为采样保持。然后,对采样值进行量化和数字化,每个采样结束后,转换器尽快选择与采样保持电平最接近的量化电平,并分配一个二进制数字来表示该量化电平,完成转换。
当N位的ADC对最大转换电压为Vmax的模拟电压进行量化编码时,对于每一个输入电压Vin,其编码值为:
若结果为小数,可四舍五入取整。例如,对于10位的ADC,参考电压为5V的模拟电压,输入3V的电压,那么编码值为:
建立ADC模型的目的之一就是为了表征ADC各项性能指标,所以在建模之前,了解ADC各项参数的意义对整个芯片的设计和使用都是十分必要的。
(2)ADC的参数
ADC参数一般可分为静态参数和动态参数两大类:
(2.1)静态参数
(2.1.1)分辨率(Resolution):分辨率是衡量ADC分辨输入模拟量最小变化程度的技术指标。例如:某A/D转换器为12位,即表示该转换器可以用212个二进制数对输入模拟量进行量化,其分辨率为1/212,也是1个LSB(Least Signification Bit,最低有效位)。
(2.1.2)量化误差(Quantization Error):由于ADC的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。图3示出了AD转换器的输入/输出特性,最大量化误差为1LSB。
(2.1.3)偏移误差(Offset Error):是指实际的AD的最低的一个判决电平和理想AD最低的一个判决电平之间的差值,即实际特性曲线和过原点的理想曲线之间的水平差值。如图4所示,通常偏移误差可以通过电压补偿得到矫正。
(2.1.4)满刻度误差(Full-scale Error):满刻度误差是满度输出时对应的输入信号与理想输入信号值之差。如图5所示,满刻度误差反映了实际传输曲线与理想传输曲线的斜率之差,同样可以通过微调校正为零。
(2.1.5)微分非线性误差(DNL):微分非线性误差表征了AD实际传输特性中实际步长与理想步长之间的差值。如图6所示。如果微分非线性误差大于1LSB,则会产生失码,即本是2n个输出码中的一个或者多个码不会出现。
(2.1.6)积分非线性误差(INL):积分非线性误差表征了AD实际传输特性与理想传输特性曲线在垂直方向上的最大差值。如图6所示,顾名思义,某处的积分非线性误差是从传输曲线的最底端到此处的所有微分非线性误差的累积。
(2.2)动态参数
(2.2.1)信噪比(SNR):信噪比是指AD输入信号的信号能量SP与噪声能量NP之比。计算公式如下:
对于一个理想A/D转换器,若输入正弦信号,其SNR为:
SNR=1.76+6.02N (4)
其中,N是AD的转换位数。对于实际的ADC,由于量化误差,时钟抖动,电源波动以及非线性等误差带来的噪声,实际SNR要小于1.76+6.02N。
(2.2.2)信噪失真比(SNDR):信噪失真比表征了噪声、量化误差和谐波失真等引起的性能下降,定义为基频信号能量SP与谐波、混叠谐波及噪声信号能量和NDP之比:
(2.2.3)总谐波失真(THD):为了衡量实际ADC产生的谐波对其性能的影响,总谐波失真定义为总的谐波失真能量THDP与基频信号能量SP之比,即:
(2.2.4)无杂散动态范围(SFDR):无杂散动态范围是衡量相对于输入信号的最差频谱伪像的重要指标。定义为信号能量SP与乃奎斯特频带内最大谐波或者混叠谐波能量LHP之比。
(2.2.5)有效位数(ENOB):从另一角度讲,当测出一个实际的ADC的SNR时,可以将实际系统中的噪声、干扰和非线性误差等因素都按量化噪声折算,则用公式(8)表示出实际系统所能达到的转换位数,即有效位数。
ENOB=(SNR-1.76)/6.02 (8)
(3)ADC的VHDL-AMS模型
根据上述的ADC转换原理,利用VHDL-AMS,可以如图7所示设计ADC模型构架。
在图7中,虚线框内各模块在SystemVision中建立,并显示转换结果。为验证仿真动态参数性能,由Simulink产生正弦激励信号,而后将ADC转换后的数据传入Matlab计算并显示动态参数值。在此需要说明,模型利用Simulink产生信号的原因是,在较高频率情况下,SystemVision产生的信号已经发生严重失真,这可能跟软件本身精度有关,同样的频率,Simulink产生的信号能够保证良好的信号完整度,所以为保证验证仿真的精度,选择Simulink产生信号。
(3.1)采样保持模块(是否有参数接口)
采样保持模块的作用就是对输入来的模拟信号按固定时间采样并保持到一下采样时刻。VHDL-AMS伪代码如下:
其中,V1表示输入的电压,ZOH是采样属性函数,T是采样时间间隔,0.0表示初始采样时间,V2是输出电压。
(3.2)噪声模块
噪声模块作用是为了产生ADC在转换过程中的量化误差,时钟抖动,电源波动以及非线性等误差带来的噪声,该噪声是利用均匀分布实现的。图8是均匀分布的概率密度。
一个区间控制变量[left,right]用来设定加入噪声的上下限值。噪声模块的核心VHDL-AMS伪代码如下:
例如设定区间为[-0.5V,0.5V],表示噪声最大最小值分别是-0.5V和0.5V。
(3.3)加法器模块
如图9所示,加法器的作用就是把采样保持输出的信号和噪声信号线性叠加传送到下一级。加法器的VHDL-AMS伪代码如下:
(3.4)AD转换模块
AD转换模块是该模型的核心,基本实现原理如公式1所示,同时也包含了类型转换、上下限界定等处理,AD转换模块的工作流程如图10所示。
在该模块还提供了如ADC转换位数Nbits、最大参考电压Vmax、转换周期T、偏移误差offset、满量程误差系数FS_error、噪声大小[left,right]等对外接口参数,可以按照不同的参数指标设定其中一个或多个参数来满足相应的设计或者仿真要求。在相关技术中,已有的建模方法只是针对特定类型建模,不具备通用性,并且多数仅能够针对ADC工作时的某一方面参数进行分析和研究,不能综合描述ADC工作时各项性能参数的变化。而本发明的方案能够基于VHDL-AMS建立通用的ADC模型,并考虑到诸多参数,这样做的优势在于:
(a)不针对特定类型和型号的AD转换器,因此设计过程不会受到某个类型AD转换器的结构或功能约束;
(b)典型的行为级描述,具有很好的设计抽象性、灵活度和空间性;
(c)对外留出足够的参数接口,能尽可能多的表征不同性能指标;
(d)模型建立在SystemVision中,数据处理在MATLAB中,能够发挥不同工具各自的优势,使设计更高效;
(e)根据以后更多的需求,易于模型的扩展,使其更完善。
(4)仿真结果
(4.1)SIMULINK信号发生模块
可以在SIMULINK中设定正弦信号频率为1MHZ,幅值为10V,将数据传入SystemVision并显示,如图11中sine_in波形仿真结果所示。
(4.2)采样保持模块
如果对图11输入信号进行20MHz的采样,那么设定采样周期T=0.05us,仿真结果如图11中ad_in锯齿波形所示,采样频率是输入信号频率的20倍,那么在一个信号周期内将产生20个保持信号,仿真结果也表明了这一点。
(4.3)噪声模块
设定噪声区间为[-1.0V,1.0V],表示噪声最大最小值分别是-1.0V和1.0V,且符合均匀分布,仿真结果如图12所示。
(4.4)AD转换模块
将ADC转换位数Nbits分别设置成10位和12位,输入信号如图11,仿真结果如图13所示。input波形是模拟输入信号,holdtoadc波形是采样保持,最后两个分别是10位和12位的数字输出,那么理论最大最小输出分别为±512(210-1)和±2048(212-1),实际仿真最大输出分别是511和2047,最小输出是-512和-2048,这与预设的转换位数是相符的。AD转换模块还存在偏移误差,其仿真结果图参照图14所示。
仿真ADC转换位数为10时,随机抽取一组数据进行偏差分析如表1,输入值是模拟输入信号,输出值是数字输出,对应量是数字输出对应到模拟值,偏差百分比是对应量与输入值的差值比。由表可看出,偏差最大不超过0.5%。
表1误差分析
输入值(V) 1.24345 3.42274 4.99013 -1.84062 -3.42274 -4.99013
输出值 254 701 1022 -377 -700 -1022
对应量(V) 1.24023 3.42285 4.99023 -1.84082 -3.41797 -4.99023
偏差百分比(%) 0.4 0.003 0.002 0.01 0.14 0.002
设定参考电压为10V,offset转换偏移量为-2V,仿真结果如图15。数字输出信号相对模拟输入信号,整体向下2V,且下限超出部分被截断,符合预定设置。
设定输入的是从0到10V的线性模拟信号,满量程误差系数FS_error为1.2,即数字输出最大为10/1.2=8.33V。如图15所示,数字最大输出为8.32031V,与理论计算基本一致。
假定幅值为10V的正弦信号加入[-1.0V,1.0V]区间的均匀白噪声,仿真结果如图16所示,输出的锯齿波形在噪声的干扰下与原始信号相比变得杂乱不均。
(4.5)MATLAB仿真验证
以上仿真验证了ADC的静态参数,对于信噪比、有效位数等动态参数和积分微分非线性误差,由于需要对输出数据进行统计和FFT变换才能得到结果,所以如图7模型架构所示,根据各个参数的定义,编写MATLAB代码计算出各参数值。
对于理想ADC来讲,噪声主要来自量化噪声,输入正弦信号,信噪比的理论值为公式4所示,则当N=12时,信噪比SNR≈74dB。在此可以设定AD转换位数Nbits为12,正弦输入信号频率为36.633MHz,幅值为1V,采样频率为100MHz,采样点为8192,其他误差都初始为零,则MATLAB FFT变换及各指标结果如图17、18所示。
如图17所示,最高幅值表示原始输入信号,频率对应36.633MHz。其他被方框标示出的是谐波分量。无杂散动态范围为79.68dB,谐波失真为-79.44dB,信噪比为74.10dB,信噪失真比为72,98dB,有效位数为11.84bit。
图18是微分和积分非线性误差,它们的最大最小值的绝对值都不超过1LSB,可认为模型是理想的。
如果在以上基础上,加入[-0.00125V,0.00125V]的噪声,经计算,SNR就会下降到60dB,MATLAB FFT变换及各指标结果如图19、20所示。
图19与图17相比,输入原始信号没有变化。由于加入了白噪声,信噪比、信噪失真比和有效位数都有明显下降,并且信噪比SNR为59.75dB,这与计算值一致。根据文献[7]所述,一定量的噪声可以改善无杂散动态范围,所以无杂散动态范围从79.68dB变成了80.16dB,仿真结果与该观点也是相符的。
图20是带有噪声的微分和积分非线性误差,最大噪声0.00125V数字输出为3,即最大非线性误差不超过3,可以判断仿真结果是正确的。
在以上描述的本发明的实施例中,使用VHDL-AMS语言在SystemVision软件环境中建立通用的ADC仿真模型,该模型能够表征ADC各项性能参数。最后在MATLAB中设计实验对本文所建的ADC模型的进行验证。结果表明,本文中基于VHDL-AMS语言建立的ADC模型能够正确表征ADC工作时的各项性能参数,并且该模型具有通用性和可扩展性。
此外,根据本发明的实施例,还提供了一种模数转换器的仿真装置。
如图21所示,根据本发明实施例的模数转换器的仿真装置包括:
接收模块2101,用于接收用户输入的器件参数;
调用模块2102,用于调用预先配置的模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;
仿真模块2103,用于基于输入的器件参数以及调用的变化关系,对模数转换器进行仿真。
在一个实施例中,接收模块2101还用于接收输入的环境参数;
并且,根据本发明的仿真装置进一步包括:
调整模块(未示出),用于根据预先配置的环境参数与模数转换器的器件参数之间的相对变化关系,对用户输入的器件参数的参数值和未被用户输入的器件参数的默认值进行调整;
并且,仿真模块2103用于基于调整后的结果进行仿真。
并且,根据本发明的仿真装置可以进一步包括:
配置模块(未示出),用于预先配置环境参数与模数转换器的内部参数之间的相对变化关系,并以函数的方式表示相对变化关系。
可选地,上述环境参数可以包括以下至少之一:温度参数、气压参数、辐照参数、磁场参数。
可选地,模数转换器的多种器件参数包括以下至少之一:
分辨率、量化误差、偏移误差、满刻度误差、微分非线性误差、积分非线性误差、信噪比、信噪失真比、总谐波失真、无杂散动态范围、有效位数。
应当注意的是,这里所列举的环境参数和器件参数仅仅用于说明,而并不用于限制本发明的保护范围。
此外,在一个实施例中,模数转换器的模型预先基于VHDL-AMS建模,其中,模数转换器的模型中包含多种器件参数与模数转换器的输出结果之间的相对变化关系,并且提供了用于接收多种器件参数的接口。另外,模数转换器的模型可以通过行为级建模得到。
根据本发明的实施例,还提供了一种模数转换器的建模方法,该建模方法基于VHDL-AMS、且以行为级建模的方式对模数转换器进行建模。
根据本发明实施例的模数转换器的建模方法包括:配置并保存模数转换器的多种器件参数与模数转换器的输出结果之间的相对变化关系;配置用于接收多种器件参数中部分或全部参数的接口。
并且,该建模方法还可以包括:配置环境参数输入接口,并且配置环境参数与模数转换器的上述多种器件参数之间的相对变化关系。
可选地,上述环境参数可以包括以下至少之一:温度参数、气压参数、辐照参数、磁场参数。可选地,模数转换器的多种器件参数包括以下至少之一:分辨率、量化误差、偏移误差、满刻度误差、微分非线性误差、积分非线性误差、信噪比、信噪失真比、总谐波失真、无杂散动态范围、有效位数。
应当注意的是,这里所列举的环境参数和器件参数仅仅用于说明,而并不用于限制本发明的保护范围。
综上所述,借助于本发明的上述技术方案,本发明通过根据输入的器件参数以及器件参数对模数转换器输出的影响对模数转换器进行仿真,使得仿真的过程能够考虑到模数转换器本身的性能,从而使仿真更加准确、客观;另外,通过接收环境参数并调整运模数转换器的器件参数之后进行仿真,能够使得仿真结果与模数转换器实际所处的环境相关联,进一步有效提高仿真结果的客观性和可靠性。
以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (6)

1.一种模数转换器的仿真方法,其特征在于,包括:
接收用户输入的器件参数;
调用预先配置的所述模数转换器的多种器件参数与所述模数转换器的输出结果之间的相对变化关系;
基于输入的所述器件参数以及调用的所述变化关系,对所述模数转换器进行仿真;在对所述模数转换器进行仿真时,对于所述多种器件参数中被用户输入的所述器件参数,将输入的参数值带入被调用的相应变化关系中;对于所述多种器件参数中未被用户输入的器件参数,设置为默认值并带入被调用的相应变化关系中;进一步包括:
接收环境参数;
根据预先配置的环境参数与所述模数转换器的器件参数之间的相对变化关系,对用户输入的所述器件参数的参数值和未被用户输入的器件参数的默认值进行调整;
并且,在对所述模数转换器进行仿真时,基于调整后的结果进行仿真;
所述模数转换器的模型预先基于VHDL-AMS建模,其中,所述模数转换器的模型中包含所述多种器件参数与所述模数转换器的输出结果之间的相对变化关系,并且提供了用于接收所述多种器件参数的接口;
所述模数转换器的模型为通过行为级建模得到。
2.根据权利要求1所述的仿真方法,其特征在于,进一步包括:
预先配置环境参数与所述模数转换器的器件参数之间的相对变化关系,并以函数的方式表示所述相对变化关系。
3.根据权利要求1或2所述的仿真方法,其特征在于,输入的所述环境参数包括以下至少之一:
温度参数、气压参数、辐照参数、磁场参数。
4.根据权利要求1或2所述的仿真方法,其特征在于,所述模数转换器的多种器件参数包括以下至少之一:
分辨率、量化误差、偏移误差、满刻度误差、微分非线性误差、积分非线性误差、信噪比、信噪失真比、总谐波失真、无杂散动态范围、有效位数。
5.一种模数转换器的仿真装置,其特征在于,包括:
接收模块,用于接收用户输入的器件参数;
调用模块,用于调用预先配置的所述模数转换器的多种器件参数与所述模数转换器的输出结果之间的相对变化关系;
仿真模块,用于基于输入的所述器件参数以及调用的所述变化关系,对所述模数转换器进行仿真;
在对所述模数转换器进行仿真时,对于所述多种器件参数中被用户输入的所述器件参数,将输入的参数值带入被调用的相应变化关系中;对于所述多种器件参数中未被用户输入的器件参数,设置为默认值并带入被调用的相应变化关系中;进一步包括:
接收环境参数;
根据预先配置的环境参数与所述模数转换器的器件参数之间的相对变化关系,对用户输入的所述器件参数的参数值和未被用户输入的器件参数的默认值进行调整;
并且,在对所述模数转换器进行仿真时,基于调整后的结果进行仿真;
所述模数转换器的模型预先基于VHDL-AMS建模,其中,所述模数转换器的模型中包含所述多种器件参数与所述模数转换器的输出结果之间的相对变化关系,并且提供了用于接收所述多种器件参数的接口;
所述模数转换器的模型为通过行为级建模得到。
6.一种模数转换器的建模方法,其特征在于,所述建模方法基于VHDL-AMS、且以行为级建模的方式对模数转换器进行建模,其中,所述建模方法包括:
配置并保存模数转换器的多种器件参数与所述模数转换器的输出结果之间的相对变化关系;
配置用于接收所述多种器件参数中部分或全部参数的接口;
所述建模方法还可以包括:配置环境参数输入接口,并且配置环境参数与模数转换器的上述多种器件参数之间的相对变化关系。
CN201510049944.2A 2015-01-29 2015-01-29 模数转换器的仿真方法和装置 Active CN105989200B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510049944.2A CN105989200B (zh) 2015-01-29 2015-01-29 模数转换器的仿真方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510049944.2A CN105989200B (zh) 2015-01-29 2015-01-29 模数转换器的仿真方法和装置

Publications (2)

Publication Number Publication Date
CN105989200A CN105989200A (zh) 2016-10-05
CN105989200B true CN105989200B (zh) 2019-05-31

Family

ID=57036883

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510049944.2A Active CN105989200B (zh) 2015-01-29 2015-01-29 模数转换器的仿真方法和装置

Country Status (1)

Country Link
CN (1) CN105989200B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113193871B (zh) * 2021-04-27 2023-09-19 西安电子科技大学 一种基于缓冲架构下的采样网络建模电路

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102232269A (zh) * 2008-10-01 2011-11-02 爱立信电话股份有限公司 用于无线接收器的可重新配置的无源混频器
CN103778297A (zh) * 2014-01-27 2014-05-07 中国科学院微电子研究所 Mos器件的sti应力效应建模方法及装置
CN104158610A (zh) * 2014-08-15 2014-11-19 中国舰船研究设计中心 接收机混频器输出响应建模方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102232269A (zh) * 2008-10-01 2011-11-02 爱立信电话股份有限公司 用于无线接收器的可重新配置的无源混频器
CN103778297A (zh) * 2014-01-27 2014-05-07 中国科学院微电子研究所 Mos器件的sti应力效应建模方法及装置
CN104158610A (zh) * 2014-08-15 2014-11-19 中国舰船研究设计中心 接收机混频器输出响应建模方法

Also Published As

Publication number Publication date
CN105989200A (zh) 2016-10-05

Similar Documents

Publication Publication Date Title
Rapuano et al. ADC parameters and characteristics
Fredenburg et al. Statistical analysis of ENOB and yield in binary weighted ADCs and DACS with random element mismatch
Park et al. A 0.5-V fully synthesizable SAR ADC for on-chip distributed waveform monitors
CN109714058B (zh) 基于并联结构的数模转换器dac
CN106341132A (zh) 时间交织采样adc的误差盲校正方法
CN109639278A (zh) 多通道时间交错adc的时序补偿方法及装置
CN105471431A (zh) 一种测试模数转换器差分线性误差和积分线性误差的方法
CN105989200B (zh) 模数转换器的仿真方法和装置
Xing et al. High-resolution ADC linearity testing using a fully digital-compatible BIST strategy
Jiang et al. Gray-code input DAC architecture for clean signal generation
Brenna et al. A tool for the assisted design of charge redistribution SAR ADCs
Jin et al. Code-density test of analog-to-digital converters using single low-linearity stimulus signal
Björsell et al. Achievable ADC performance by postcorrection utilizing dynamic modeling of the integral nonlinearity
CN101093624B (zh) 基于实验平台实现多种模数/数模转换的方法
Jin et al. Testing of precision DAC using low-resolution ADC with wobbling
Chen et al. An ultrafast multibit/stage pipelined ADC testing and calibration method
Brenna et al. An efficient tool for the assisted design of SAR ADCs capacitive DACs
Olleta et al. A deterministic dynamic element matching approach for testing high-resolution ADCs with low-accuracy excitations
Sahu et al. System level behavioral modeling of CORDIC based ORA of built-in-self-test for sigma-delta analog-to-digital converter
Mansour et al. Design and implementation of a platform for experimental testing and validation of analog-to-digital converters: static and dynamic parameters
Moorthy Characterizing Distortion in Successive-Approx imation Analog-to-Digital Converters due to Off-Chip Capacitors within the Voltage Reference Circuit
Ruiz-Amaya et al. Behavioral modeling simulation and high-level synthesis of pipeline A/D converters
Yamaguchi Static testing of ADCs using wavelet transforms
Waters Automated verilog-to-layout synthesis of ADCs using custom analog cells
Dakshinamurthy et al. System Verilog Real Number Modelling for 8-bit Flash ADC and R2R DAC

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant