CN105717744B - Monomer, polymer, resist composition and patterning method - Google Patents

Monomer, polymer, resist composition and patterning method Download PDF

Info

Publication number
CN105717744B
CN105717744B CN201510955723.1A CN201510955723A CN105717744B CN 105717744 B CN105717744 B CN 105717744B CN 201510955723 A CN201510955723 A CN 201510955723A CN 105717744 B CN105717744 B CN 105717744B
Authority
CN
China
Prior art keywords
cyclic
group
branched
straight
chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510955723.1A
Other languages
Chinese (zh)
Other versions
CN105717744A (en
Inventor
提箸正义
长谷川幸士
片山和弘
畠山润
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2015179394A external-priority patent/JP6468139B2/en
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of CN105717744A publication Critical patent/CN105717744A/en
Application granted granted Critical
Publication of CN105717744B publication Critical patent/CN105717744B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C69/00Esters of carboxylic acids; Esters of carbonic or haloformic acids
    • C07C69/52Esters of acyclic unsaturated carboxylic acids having the esterified carboxyl group bound to an acyclic carbon atom
    • C07C69/533Monocarboxylic acid esters having only one carbon-to-carbon double bond
    • C07C69/54Acrylic acid esters; Methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F224/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a heterocyclic ring containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/20Esters of polyhydric alcohols or phenols, e.g. 2-hydroxyethyl (meth)acrylate or glycerol mono-(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/282Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing two or more oxygen atoms

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

Monomers having a plurality of tertiary alcoholic hydroxyl groups are provided. A useful polymer obtained by polymerizing the monomer. From a resist composition comprising the polymer, a negative pattern insoluble in an alkali developer and having high etching resistance is formed with high resolution.

Description

Monomer, polymer, resist composition and patterning method
Cross Reference to Related Applications
This non-provisional application claims priority under 35u.s.c. § 119(a) to patent application nos. 2014-256295 and 2015 179394, filed in japan on 12/18 and 2015 9/11, respectively, which are hereby incorporated by reference in their entirety.
Technical Field
The present invention relates to a monomer useful as a starting reactant for functions, pharmaceuticals, and agrochemicals, a polymer comprising a repeating unit derived from the monomer, a resist composition comprising the polymer, and a pattern forming method using the composition.
Background
In order to meet the demand for higher integration density and operating speed of LSIs, efforts to reduce the pattern size are rapidly advancing. The widely expanding flash market and the demand for increased storage capacity drive the development of microminiaturization technologies forward. With the development of microminiaturization technology, a self-aligned double patterning (SADP) method in which a film is added to opposite sidewalls of a line of a resist pattern produced by ArF lithography to thereby form two patterns having a half line width from one pattern has succeeded in mass-producing a microelectronic device of a20 nm node. As a microminiaturization technique of a microelectronic device of a next generation 10nm node, self-aligned quad patterning (SAQP), which is double repetition of SADP, is a candidate. Note that this method is quite expensive because the formation of the sidewall film by CVD and the processing by dry etching are repeated several times. Extreme Ultraviolet (EUV) lithography with a wavelength of 13.5nm is capable of forming a pattern with a size on the order of 10nm via one exposure, but has the problem that the laser power is still low and the productivity is low. Since microminiaturization technology is at a standstill, development of three-dimensional devices such as vertically stacked flash memories, typically BiCS, is started, but a high-cost approach is expected.
Recently, organic solvent development has been emphasized again. The positive resist composition characterized by high resolution is subjected to organic solvent development to form a negative pattern. As the ArF resist composition for negative tone development with an organic solvent, a positive type ArF resist composition designed by the prior art can be used. Such a pattern forming method is described in patent document 1.
In a method of forming a negative tone pattern by organic solvent development, a film from which a stable protecting group having dry etching resistance, such as a cyclic structure, is eliminated has been left as a negative tone pattern. The film therefore has insufficient dry etching resistance. This serious problem must be overcome before negative pattern formation via organic solvent development can be carried out.
On the other hand, negative pattern formation by development in an aqueous alkali solution has also been studied. Known resist compositions used in the method include: a polarity-switching type negative resist composition including a base resin containing a repeating unit having a γ -hydroxycarboxylic acid forming a lactone ring by PEB (see patent document 2); a negative resist composition comprising a copolymer containing alcoholic hydroxyl group-containing (meth) acrylate ester units and fluoroalcohol-containing units, and a crosslinking agent (see patent document 3); and a crosslinking type negative resist composition comprising a crosslinking agent and a combination of α -hydroxyacrylate and lactone units (see patent document 4), a combination of α -hydroxyacrylate and fluoroalcohol units (see patent documents 5 to 7), and a combination of mono (meth) acryloyloxyppinacol and fluoroalcohol units (see patent document 8).
Among these, patent document 2 describes a polarity-switching type negative resist composition which does not employ a crosslinking reaction in which a γ -hydroxycarboxylic acid unit causes swelling of a pattern after development. Patent documents 3 to 7 relate to a crosslinking type negative resist composition. Although negative pattern formation through cooperation of an alcoholic hydroxyl group and a crosslinking agent has problems of pattern collapse and bridging between pattern features resulting from swelling, it is noted that introduction of a fluoroalcohol unit has a swelling reduction effect. Also, as a recent example of negative pattern formation by polarity inversion, a base resin having a polar unit such as a tertiary hydroxyl group, a tertiary ether bond, a tertiary ester bond or an acetal bond as a polarity inversion group is proposed. Of these, polymers using polar units having one tertiary hydroxyl group are not easily swelled after development. However, the difference in the dissolution rate of the developer between the unexposed and exposed regions is insufficient, which causes a problem that undercutting occurs at the bottom of the line-and-space pattern, i.e., the pattern features take a tapered shape. See patent documents 9 and 10 and non-patent document 1.
All of the negative-tone pattern forming methods described above are effective to some extent for forming pattern features having dimensions on the order of 100 nm. However, since pattern bridging and collapse due to swelling and undercut at the bottom of the pattern inevitably occur, their performance is insufficient in forming pattern features having a size finer than 100 nm. Although active efforts have been recently put on a negative pattern forming method via organic solvent development, organic solvents used as developers are more expensive than conventional alkali developers. From the viewpoint of improvement in etching resistance, it is desirable to have a negative resist composition which can be subjected to conventional alkali development at high resolution and which leaves a firm skeletal structure remaining in the film after development.
Reference list
Patent document 1 JP 4554665(USP 8,227,183)
Patent document 2 JP-A2003-195502
Patent document 3 WO 2004/074936
Patent document 4 JP-A2005-
Patent document 5 JP-A2005-003863
Patent document 6 JP-A2006-
Patent document 7 JP-A2006-
Patent document 8 JP-A2006-
Patent document 9 USP 7,300,739
Patent document 10 USP 7,563,558
Non-patent document 1 Proc. SPIE 5376, page 71 (2004)
Disclosure of Invention
The demand for further miniaturization has become more and more stringent over the years. In a negative pattern forming method via organic solvent development, which has made active efforts, a negative pattern defined in a resist film has a reduced carbon density as compared to before exposure. Thus, it is desired to improve the etching resistance of the resist film and the retention of the pattern shape after etching.
The purpose of the present invention is to provide a polymerizable monomer having a substituent capable of polarity conversion by the action of an acid, a polymer derived from the monomer, a resist composition containing the polymer, and a pattern formation method using the composition.
The present inventors have found that a monomer having the following formula (1) is easily prepared, and a resist composition comprising a polymer derived from the monomer as a base resin forms a negative pattern insoluble in an alkali developer and having high etching resistance with high resolution.
In one aspect, the invention provides a monomer having formula (1).
Figure BDA0000882317540000041
Wherein R is1Is hydrogen or methyl, R2And R3Each independently of the others being straight-chain, branched or cyclic C1-C10Monovalent hydrocarbon radical, R2And R3May be bonded to each other to form a cycloaliphatic group with the carbon atoms to which they are bonded; x1Is straight-chain, branched or cyclic C1-C20A divalent hydrocarbon group of which any component-CH2The-moiety may be substituted by-O-or-C (═ O) -Replacement; z1Is straight-chain, branched or cyclic C1-C20Aliphatic hydrocarbon group of which any component is-CH2-the moiety may be replaced by-O-or-C (═ O) -; k is a radical of1Is 0 or 1, and k2Is an integer of 2 to 4.
Preferably, Z1Is cyclic C3-C20An aliphatic hydrocarbon group.
In another aspect, the invention provides a polymer comprising a repeat unit having formula (3).
Figure BDA0000882317540000042
Wherein R is1,R2,R3,X1,Z1,k1And k2As defined above.
In a preferred embodiment, the polymer further comprises at least one repeating unit selected from the group consisting of repeating units having the formulae (a) to (D).
Figure BDA0000882317540000051
Wherein R is1As defined above, ZAIs C1-C20A fluoroalcohol-containing group, ZBIs C1-C20A group containing a phenolic hydroxyl group, ZCIs C1-C20Containing carboxyl groups, ZDIs a substituent having a lactone structure, a sultone structure, a carbonate structure, a cyclic ether structure, an acid anhydride structure, an alcoholic hydroxyl group, an alkoxycarbonyl group, a sulfonamide or a carbamoyl structure moiety, X2Is a single bond, methylene, ethylene, phenylene, fluorophenylene, naphthylene, -O-R01-or-C (═ O) -Z2-R01-,Z2Is oxygen or NH, and R01Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene, phenylene or naphthylene, which may contain carbonyl, ester, ether or hydroxyl moieties.
In another aspect, the present invention provides a resist composition comprising a base resin, an acid generator, and an organic solvent, the base resin comprising the polymer described above.
In still another aspect, the present invention provides a pattern forming method, comprising the steps of: the above resist composition is applied to a substrate, baked to form a resist film, the resist film is exposed to high-energy radiation to define exposed and unexposed regions, baked, and the exposed resist film is developed in a developer to form a pattern.
In a preferred embodiment, the developing step uses an alkali developer that dissolves the unexposed regions of the resist film and does not dissolve the exposed regions of the resist film to form a negative tone pattern.
Advantageous effects of the invention
The monomers of the invention are particularly useful for the preparation of polymers for use in the formulation of radiation having a wavelength below 500nm, particularly below 300nm, for example KrF, ArF or F2A base resin of a radiation-sensitive resist composition having high transparency upon laser irradiation and having improved developing properties. A resist composition is formulated using a polymer comprising repeating units derived from the monomer of the present invention as a base resin. From the resist composition, a negative pattern insoluble in an alkali developer and having high etching resistance can be formed with high resolution.
Detailed Description
In the disclosure, the singular forms "a", "an" and "the" include plural referents unless the context clearly dictates otherwise. The label (Cn-Cm) means a group containing n to m carbon atoms per group. In the chemical formula, the dotted line represents a bond. Me represents a methyl group, Ph represents a phenyl group, and Ac represents an acetyl group.
Abbreviations and acronyms have the following meanings.
Extreme Ultraviolet (EUV)
PAG: photoacid generators
Mw: weight average molecular weight
Mn: number average molecular weight
Mw/Mn: molecular weight distribution or dispersity
GPC: gel permeation chromatography
PEB: post exposure bake
LWR: line width roughness
It is understood that for some structures represented by chemical formulas, enantiomers and diastereomers can exist due to the presence of asymmetric carbon atoms. In such cases, a single formula collectively represents all such isomers. The isomers may be used alone or in admixture.
Monomer
The present invention provides a monomer having formula (1).
Figure BDA0000882317540000061
Wherein R is1Is hydrogen or methyl. R2And R3Each independently of the others being straight-chain, branched or cyclic C1-C10Monovalent hydrocarbon radical, R2And R3May be bonded to each other to form a cycloaliphatic group with the carbon atoms to which they are bonded. X1Is straight-chain, branched or cyclic C1-C20A divalent hydrocarbon group of which any component-CH2-a moiety may be replaced by-O-or-C (═ O) -. Z1Is straight-chain, branched or cyclic C1-C20Aliphatic hydrocarbon group of which any component is-CH2-the structural moiety may be replaced by-O-or-C (═ O) -, k1Is 0 or 1, and k2Is an integer of 2 to 4.
Typical straight, branched or cyclic C1-C10Monovalent hydrocarbon radicals are alkyl radicals including methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, norbornyl, tricyclodecyl, and adamantyl.
The following are given for straight-chain, branched or cyclic C1-C20Examples of the divalent hydrocarbon group include, but are not limited to, these.
Figure BDA0000882317540000071
Z is given below1Linear, branched or cyclic C1-C20Examples of the aliphatic hydrocarbon group include, but are not limited to, aliphatic hydrocarbon groups.
Figure BDA0000882317540000081
Wherein Z is1Preferably cyclic C3-C20The aliphatic hydrocarbon group is more preferably a group having a cyclohexane ring structure (including bridged rings such as norbornane rings). In this case, the preferred monomer has formula (2), but is not limited thereto.
Figure BDA0000882317540000082
Wherein R is1-R3,X1,k1And k2As defined above. R5And R6Are hydrogen or may together form optionally substituted methylene or ethylene or-O-.
Examples of suitable repeating units derived from monomers having formula (1) are shown below, but are not limited thereto.
Figure BDA0000882317540000091
Figure BDA0000882317540000101
Monomers having formula (1) can be synthesized from the reaction shown in scheme a, although the synthetic route is not limited thereto.
Scheme A
Figure BDA0000882317540000111
Wherein R is1-R3,X1,Z1,k1And k2As defined above. R4Is straight-chain, branched or cyclic C1-C10A monovalent hydrocarbon group. X3Is a halogen atom, a hydroxyl group or an acyloxy group. M is Li, Na, K, MgX or ZnX, wherein X is a halogen atom.
The first stage is the addition reaction of the hydroxy-ester compound (4) with the organometallic reactant (5) to form the polyol compound (6).
The reaction can be carried out using standard procedures. For example, the hydroxy-ester compound (4) is dissolved in an ether solvent such as tetrahydrofuran or diethyl ether, and then will correspond to the substituent R2And R3Such as a grignard reagent such as methyl magnesium chloride or ethyl magnesium chloride or an alkyl lithium reagent such as methyl lithium is added to the solution, whereby an addition reaction occurs to form a polyol compound (6) having a tertiary alcohol. The organometallic reactant (5) is suitably used in an amount of 3.0 to 10.0 moles, more preferably 3.0 to 5.0 moles, per mole of the ester group of the hydroxy-ester compound (4). Since the hydroxyl group of the hydroxyl-ester compound (4) consumes 1 mole of the organometallic reactant (5), less than 3.0 moles of the organometallic reactant (5) may be too little for the addition reaction to occur with the ester group to be completed. Greater than 10.0 moles of organometallic reactant (5) may be cost prohibitive due to increased reactant consumption. The reaction can be carried out, if desired, while cooling or heating, typically at a temperature of from 0 ℃ to about the boiling point of the solvent. From the viewpoint of yield, it is desirable to determine the reaction time by monitoring the course of the reaction with Gas Chromatography (GC) or silica gel Thin Layer Chromatography (TLC) to drive the reaction to completion. Typically, the reaction time is from about 0.5 hours to 24 hours. The desired polyol compound (6) is recovered from the reaction mixture by usual water treatment (aqueous workup). If desired, the compound may be purified by standard techniques such as distillation, chromatography or recrystallization.
The second stage is the reaction of the polyol compound (6) with the esterifying agent (7) to form the monomer (1).
The reaction can be carried out using standard procedures. Preferred esterification agents (7) are those wherein X3An acid chloride of formula (7) which is chlorine, wherein X3A carboxylic acid of formula (7) which is hydroxy, or wherein X3An acid anhydride of the formula (7) which is an acyloxy group. When acid chloride is used as the esterifying agentThe reaction can be carried out in a solvent-free system or in a solvent (e.g., dichloromethane, acetonitrile, toluene, or hexane) by sequentially or simultaneously adding the polyol compound (6), the corresponding acid chloride (e.g., methacryloyl chloride), a base (e.g., triethylamine, pyridine, or 4-dimethylaminopyridine), optionally cooling or heating the reaction system. When a carboxylic acid is used as the esterifying agent, the reaction can be carried out in a solvent (e.g., toluene or hexane) by heating the polyol compound (6) and the corresponding carboxylic acid (e.g., methacrylic acid) in the presence of an acid catalyst, optionally removing water formed by the reaction from the reaction system. Examples of the acid catalyst used herein include inorganic acids such as hydrochloric acid, sulfuric acid, nitric acid and perchloric acid, and organic acids such as p-toluenesulfonic acid and benzenesulfonic acid. When an acid anhydride is used as the esterifying agent, the reaction can be carried out in a solvent-free system or in a solvent (e.g., dichloromethane, acetonitrile, toluene or hexane) by adding the polyol compound (6), the corresponding acid anhydride (e.g., methacrylic anhydride), and a base (e.g., triethylamine, pyridine or 4-dimethylaminopyridine) sequentially or simultaneously, optionally cooling or heating the reaction system. From the viewpoint of yield, it is advisable to determine the reaction time by monitoring the progress of the reaction with GC or silica gel TLC to drive the reaction to completion. Typically, the reaction time is about 0.5 to 24 hours. From the reaction mixture, the desired monomer (1) is recovered by usual water treatment. If desired, the monomer may be purified by standard techniques such as distillation, chromatography or recrystallization.
For the preparation of a compound corresponding to formula (2) (wherein R2And R3Is methyl and k2A typical method of the monomer (2-1) of ═ 2) (hereinafter, this is shown as scheme B), the synthesis of the monomer having the formula (2) is explained.
Scheme B
Figure BDA0000882317540000131
Wherein R is1,R5,R6,X1,X3And k1As described above. R7Is hydrogen or acyl.
The first stage is a reaction of the lactone compound (8) with a Grignard reagent to form the triol compound (9). Specifically, the lactone compound (8) is dissolved in an ether solvent (for example, tetrahydrofuran or diethyl ether), and then methyl magnesium chloride is added to the solution, whereby a reaction occurs to form the triol compound (9) having a tertiary alcohol. A suitable amount of methyl magnesium chloride to be used is 3.0 to 10.0 moles, more preferably 3.0 to 5.0 moles per mole of the lactone compound (8). Due to the substituent-OR of the lactone compound (8)71-2 moles of methyl magnesium chloride are consumed, and thus less than 3.0 moles of methyl magnesium chloride are too little for the addition reaction to occur with the lactone to be completed. Methyl-magnesium chloride in amounts greater than 10.0 moles may be cost prohibitive due to increased reactant consumption. The reaction can be carried out, if desired, while cooling or heating, typically at a temperature of from 0 ℃ to about the boiling point of the solvent. From the viewpoint of yield, it is advisable to determine the reaction time by monitoring the progress of the reaction with GC or silica gel TLC to drive the reaction to completion. Typically, the reaction time is from about 0.5 hours to 24 hours. The desired triol compound (9) is recovered from the reaction mixture by usual water treatment. If desired, the compound may be purified by standard techniques such as distillation, chromatography or recrystallization.
The second stage is the reaction of the triol compound (9) with the esterifying agent (7) to form the monomer (2-1). The reaction conditions are the same as those in the reaction of the polyol compound (6) with the esterifying agent (7).
Polymer and method of making same
The invention also provides a polymer comprising a repeat unit having formula (3), i.e. a repeat unit derived from a monomer having formula (1).
Figure BDA0000882317540000141
Wherein R is1,R2,R3,X1,Z1,k1And k2As defined above.
In other words, the polymer of the present invention is a polymer having a plurality of tertiary alcohol hydroxyl groups(meth) acrylate polymers having the group as an acid labile group. In the synthetic routes shown below, reference is made, as a typical example, to polymer (3a), in which R is2And R3Are both methyl and k22. When the polymer of the present invention is used as a base resin in a resist composition, water molecule(s) are eliminated (hereinafter referred to as "dehydration") by the action of a strong acid generated in an exposed region of a resist film, whereby the structure of the repeating unit is changed. Although the situation follows Z1But it is considered that the formation of a plurality of olefin moieties by dehydration (scheme a) or reaction to form a ring such as an oxetane or tetrahydrofuran ring by intramolecular cyclization as a result of dehydration may occur (scheme B). Before exposure, the polymer has high affinity and high solubility in an alkaline developer due to the plurality of highly polar, hydrophilic groups thereon. After exposure, a plurality of hydroxyl groups are lost in the exposed areas of the resist film, indicating a significant decrease in solubility in an alkaline developer, i.e., the exposed areas become insoluble in the developer. In addition, since only water molecules are lost after the polarity inversion, the change in carbon density is extremely small. In particular, when the polymer has a cyclic hydrocarbon group in its structure, only polarity inversion occurs and a stable alicyclic structure is maintained. That is, since the polymer of the present invention shows a very high solubility contrast with respect to an alkali developer, it is used as a base resin component which does not necessarily need to be insolubilized by a crosslinking agent. Since the polymer maintains high carbon density and resin film thickness even after polarity inversion, bridging between pattern features and pattern collapse due to swelling, which are considered to be problems of conventional polarity-inversion type negative resist materials and crosslinking reaction type negative resist materials, do not easily occur. In addition, the polymers have improved etch resistance. Therefore, a finer-sized pattern can be analyzed.
Figure BDA0000882317540000151
Wherein R is1,X1,Z1And k1As defined above.
As mentioned previously, the polymer of the present invention has high polarity before dehydration reaction, but has low polarity after dehydration, and thus exhibits high solubility contrast with respect to an aqueous alkali solution. Due to the substituents R in the tertiary alcohol moiety therein2And R3The polymer of formula (3) having a smaller number of carbon atoms has higher polarity and hydrophilicity, and such a substituent is more easily introduced during the preparation of monomer (1), and therefore R is preferable2And R3Independently methyl or ethyl. From the viewpoint of maintaining the carbon density and robustness (robustness) before and after the dehydration reaction, Z is preferably used1Is C3-C20A cyclic hydrocarbon group. More preferably Z1Is C3-C10A cycloaliphatic radical and k2The reason is that the starting reactant for preparing the monomer (1) is easily available. K exceeding 4 although polarity inversion before and after dehydration reaction is large2The value of (b) is undesirable because the solubility of the monomer (1) in the polymerization solvent is significantly reduced, the starting reactant is hardly available, and the polymer becomes low in solvent solubility, which is necessary for formulating a resist composition.
In addition to the repeating unit derived from the monomer of formula (1), the polymer of the present invention may further include a repeating unit of at least one selected from the group consisting of repeating units having formulae (a) to (D) for the control of solubility.
Figure BDA0000882317540000161
Wherein R is1As defined above. ZAIs C1-C20A fluoroalcohol-containing group. ZBIs C1-C20A phenolic hydroxyl-containing group. ZCIs C1-C20A carboxyl group. ZDIs a substituent having a lactone structure, a sultone structure, a carbonate structure, a cyclic ether structure, an acid anhydride structure, an alcoholic hydroxyl group, an alkoxycarbonyl group, a sulfonamide or a carbamoyl structure. X2Is a single bond, methylene, ethylene, phenylene, fluorophenylene, naphthylene, -O-R01-, or-C(=O)-Z2-R01-, wherein Z2Is oxygen or NH, and R01Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene, phenylene or naphthylene, which may contain carbonyl, ester, ether or hydroxyl moieties.
The repeating unit of formula (a) has a fluoroalcohol-containing group having high affinity for aqueous alkali. Preferred examples of the fluoroalcohol-containing unit include a repeating unit having a 1,1,1,3,3, 3-hexafluoro-2-propanol residue and A2-hydroxy-2-trifluoromethyloxolane structure (2-hydroxy-2-trifluoromethyloxolane), as described in JP-A2007-297590, JP-A2008-111103, JP-A2008-122932 and JP-A2012-128067. Although these units have a tertiary alcoholic hydroxyl group or a hemiacetal structure, they do not react with acids due to fluorine substitution.
Since the repeating units of the formulae (a) to (C) are structural units having hydroxyl protons with high acidity, the alkali solubility of the polymer becomes higher as the proportion of these units introduced increases. On the other hand, excessive introduction of these units can adversely affect the polarity inversion (or alkali insolubilization effect) caused by the dehydration reaction due to the acid in the repeating unit of formula (3). Therefore, it is preferable to introduce the repeating units of formulae (A) to (C) in such a proportion that the alkali solubility of the unexposed regions is complemented and the alkali insolubilizing effect of the exposed regions is not impaired.
Illustrative, non-limiting examples of repeating units having formula (a) are shown below.
Figure BDA0000882317540000181
Figure BDA0000882317540000191
Figure BDA0000882317540000201
Illustrative, non-limiting examples of repeating units having formula (B) are shown below.
Figure BDA0000882317540000211
Illustrative, non-limiting examples of repeating units having formula (C) are shown below.
Figure BDA0000882317540000212
The fluoroalcohol may be protected with an acyl group or acid labile group in the polymer such that the fluoroalcohol containing unit corresponding to formula (a) may be produced by hydrolysis in an alkaline developer or deprotection with an acid generated upon exposure. Suitable such repeating units include those described in JP-A2012-128067 (USP 8,916,331), specifically, the units in paragraphs [0036] - [0040] and the units (2a), (2b) and (2f) in paragraph [0041 ].
Illustrative, non-limiting examples of repeating units having formula (D) are shown below.
Figure BDA0000882317540000221
Figure BDA0000882317540000231
Figure BDA0000882317540000241
Figure BDA0000882317540000251
Figure BDA0000882317540000261
Figure BDA0000882317540000271
Figure BDA0000882317540000281
In addition to the above units, the polymer of the present invention may further comprise at least one repeating unit selected from the group consisting of repeating units having the formulae (f1) to (f 3).
Figure BDA0000882317540000282
Wherein R is11Each independently hydrogen or methyl. R12Is a single bond, phenylene, -O-R21-, or-C (═ O) -Z22-R21-, wherein Z22Is oxygen or NH and R21Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene or phenylene which may contain carbonyl (-CO-), ester (-COO-), ether (-O-) or hydroxyl groups. L is a single bond or-Z33-C (═ O) -O-, wherein Z is33Is straight-chain, branched or cyclic C1-C20A divalent hydrocarbon group which may be substituted with a heteroatom. Z11Is a single bond, methylene, ethylene, phenylene, fluorophenylene, -O-R22-, or-C (═ O) -Z44-R22-, wherein Z44Is oxygen or NH and R22Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene or phenylene, which may contain carbonyl, ester, ether or hydroxyl groups. M-Is a non-nucleophilic counterion.
R13-R20Each independently of the others being straight-chain, branched or cyclic C1-C20Monovalent hydrocarbon groups, which may be substituted or separated by heteroatoms. Suitable monovalent hydrocarbon groups include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, t-butyl, cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, and adamantyl; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, and cyclohexenyl; aryl groups such as phenyl, naphthyl, and thienyl; and aralkyl group exampleSuch as benzyl, 1-phenylethyl, and 2-phenylethyl, preferably aryl. Also included are modified forms of the above groups wherein at least one hydrogen atom is substituted with a heteroatom such as oxygen, sulfur, nitrogen or halogen, or wherein a heteroatom such as oxygen, sulfur or nitrogen is intervening, such that a hydroxyl group, cyano group, carbonyl group, ether linkage, ester linkage, sulfonate linkage, carbonate linkage, lactone ring, sultone ring, carboxylic anhydride, or haloalkyl group is formed or intervening. And, R13And R14The pair of sulfur atoms which may be bonded to each other to form a ring with which they are bonded, and R15、R16And R17Or any two or more of R18、R19And R20Any two or more of them may be bonded to each other to form a ring with the sulfur atom to which they are bonded.
L is-Z33-C (═ O) -O-, represented by Z below33Is a straight-chain, branched or cyclic C which is optionally heteroatom-substituted1-C20Examples of the divalent hydrocarbon group include, but are not limited to, these.
Figure BDA0000882317540000291
R13And R14The pair of sulfur atoms bonded to each other to form a ring with which they are bonded, and R15、R16And R17Or any two or more of R18、R19And R20When any two or more of them are bonded to each other to form a ring with the sulfur atom to which they are bonded, examples of the ring are shown below, but not limited thereto.
Figure BDA0000882317540000301
In the formula, R23Is straight-chain, branched or cyclic C1-C20Monovalent hydrocarbon groups, which may be substituted or separated by heteroatoms. Suitable monovalent hydrocarbon radicals are as above for R13-R20As exemplified.
Illustrative, non-limiting examples of sulfonium cations in formulas (f2) and (f3) are given below.
Figure BDA0000882317540000311
By M-Examples of non-nucleophilic counterions represented include halide ions such as chloride and bromide; fluoroalkyl sulfonate ions such as trifluoromethanesulfonate, 1,1, 1-trifluoroethanesulfonate, and nonafluorobutanesulfonate; arylsulfonate ions such as toluenesulfonate, benzenesulfonate, 4-fluorobenzenesulfonate and 1,2,3,4, 5-pentafluorobenzenesulfonate; alkyl sulfonate ions such as methanesulfonate and butanesulfonate; imide ions such as bis (trifluoromethylsulfonyl) imide ion, bis (perfluoroethylsulfonyl) imide ion, and bis (perfluorobutylsulfonyl) imide ion; and methide ions (methidate) such as tris (trifluoromethylsulfonyl) methane ion and tris (perfluoroethylsulfonyl) methane ion.
Also included are sulfonates that are fluorinated at the alpha-position represented by the general formula (F-1) and sulfonates that are fluorinated at the alpha-and beta-positions represented by the general formula (F-2).
Figure BDA0000882317540000321
In the formula (F-1), R31Is hydrogen, or C which is linear, branched or cyclic1-C20Alkyl, straight-chain, branched or cyclic C2-C20Alkenyl or C6-C20Aryl groups, which may have ether, ester, carbonyl moieties, lactone rings or fluorine atoms. In the formula (F-2), R32Is hydrogen, or C which is linear, branched or cyclic1-C30Alkyl, straight-chain, branched or cyclic C2-C30Acyl, straight, branched or cyclic C2-C20Alkenyl radical, C6-C20Aryl or C6-C20Aryloxy groups, which may have ether, ester, carbonyl moieties or lactone rings.
Further, the repeating unit (g) having an oxirane or oxetane ring may be copolymerized. When the repeating unit (g) is copolymerized, it is expected that when the polymer is used in a resist composition, a resist film is formedThe exposed regions are crosslinked, resulting in insolubilization in an alkali developer and improved etch resistance of negative patterns. Examples of the repeating unit (g) having an oxirane or oxetane ring are shown below, but not limited thereto. It should be noted that R1As defined above.
Figure BDA0000882317540000331
Figure BDA0000882317540000341
In addition to the above units, the polymer may further comprise a repeating unit (h) derived from a monomer having a carbon-carbon double bond. Examples include those derived from substituted acrylates such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsubstituted carboxylic acids such as maleic acid, fumaric acid and itaconic acid, cyclic olefins such as norbornene, norbornene derivatives and tetracyclo [4.4.0.12,5.17,10]Repeating units of dodecene derivatives, unsaturated anhydrides such as itaconic anhydride, and other monomers shown below. In the examples described below, R1As defined above.
Figure BDA0000882317540000361
Figure BDA0000882317540000371
In the polymer, it is preferable to introduce the repeating units derived from the monomer of the present invention and other monomers at the following mole fractions (mol%):
(I) more than 0 mol% and 100 mol% or less, preferably 5 to 80 mol%, more preferably 10 to 60 mol% of at least one constituent unit having formula (3) derived from the monomer of formula (1);
(II)0 mol% or more and less than 100 mol%, preferably 20 to 95 mol%, more preferably 40 to 90 mol% of at least one constituent unit selected from the units (a) to (D);
(III)0 to 30 mol%, preferably 0 to 20 mol%, more preferably 0 to 10 mol% of at least one constituent unit selected from the units (f1) to (f 3); and
(IV)0 to 80 mol%, preferably 0 to 70 mol%, more preferably 0 to 50 mol%, of at least one constituent unit selected from the units (g) and (h).
Any desired method may be employed, for example, by dissolving one or more monomers corresponding to the selected repeating units in an organic solvent, adding a radical polymerization initiator thereto, and carrying out heat polymerization to thereby synthesize a polymer. Examples of organic solvents that can be used for polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane, cyclohexane, cyclopentane, Methyl Ethyl Ketone (MEK), Propylene Glycol Monomethyl Ether Acetate (PGMEA), and γ -butyrolactone (GBL). Examples of the polymerization initiator used herein include:
2,2' -Azobisisobutyronitrile (AIBN),
2,2' -azobis (2, 4-dimethylvaleronitrile),
dimethyl 2, 2-azobis (2-methylpropionate), benzoyl peroxide, and lauroyl peroxide. Preferably, the system is heated at 50-80 ℃ to allow polymerization to occur. The reaction time is 2 to 100 hours, preferably 5 to 20 hours.
When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, a copolymer can be obtained by dissolving hydroxystyrene or hydroxyvinylnaphthalene and (one or more) other monomers in an organic solvent, adding a radical polymerization initiator, and heating for polymerization. Alternatively, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene, and the acetoxy group is deprotected by alkaline hydrolysis after polymerization, thereby converting the polymer product into polyhydroxystyrene or hydroxypolyvinylnaphthalene. For the base hydrolysis, a base such as ammonia or triethylamine may be used. The reaction temperature is-20 ℃ to 100 ℃, preferably 0 ℃ to 60 ℃, and the reaction time is 0.2 to 100 hours, preferably 0.5 to 20 hours.
The polymer should preferably have a weight average molecular weight (Mw) in the range of 1,000-500,000, more preferably 3,000-50,000, as determined by GPC using tetrahydrofuran solvent against polystyrene standards. Outside this range, an extreme decrease in etching resistance may result, failing to provide a decrease in dissolution rate and resolution that are different before and after exposure. Also preferably, the polymer has a molecular weight distribution or dispersity (Mw/Mn) of 1.20 to 2.20, more preferably 1.30 to 1.80.
Resist composition
The polymers of the present invention are advantageously used as base resins in resist compositions. Specifically, the polymer is used as a base resin and combined with any desired components including an organic solvent, an acid generator, a dissolution regulator, a basic compound, a surfactant, and an acetylene alcohol to formulate a resist composition.
Resist compositions comprising the polymers of the present invention have very high sensitivity due to a reduced rate of dissolution of the polymer in the exposed areas in an alkaline developer by a catalytic reaction. In addition, the resist film has high dissolution contrast, resolution, exposure latitude (latitude) and process adaptability, and provides good pattern profile after exposure, still better etch resistance and minimal proximity bias (due to inhibited acid diffusion). By virtue of these advantages, the composition is fully useful for commercial use and suitable as a patterning material for VLSI manufacturing. Particularly when an acid generator is included to formulate a chemically amplified resist composition capable of employing an acid-catalyzed reaction, the composition has higher sensitivity and the above properties are further improved.
The inclusion of a dissolution modifying agent may result in an increased difference in dissolution rate between exposed and unexposed areas and a further improvement in resolution. The addition of the basic compound can effectively suppress the diffusion rate of the acid in the resist film, achieving further improvement in resolution. The addition of the surfactant can improve or control the coating characteristics of the resist composition.
In order for the resist composition to function as a chemically amplified negative resist composition, the composition may include an acid generator. A typical acid generator for use herein is a photoacid generator (PAG) capable of generating an acid in response to actinic light or irradiation. The PAG is preferably used in an amount of 0.5 to 30 parts by weight, more preferably 1 to 20 parts by weight, relative to 100 parts by weight of the base resin. A PAG is any compound that is capable of generating an acid upon exposure to high energy radiation. Preferred photoacid generators include sulfonium salts and the PAGs described in JP-A2009-269953 and the PAGs described in JP 3995575. Any sulfonium salt, iodonium salt, sulfonyldiazomethane, N-sulfonyloxyimide, and oxime-O-sulfonate acid generators may be used. These compounds may be used alone or in combination. Examples of acids generated from acid generators include sulfonic acid, imide acid (imide acid), and methylated acid (methide acid). Of these, sulfonic acids fluorinated in the α -position are most commonly used. When the acid labile group used is an acetal group which is susceptible to deprotection, fluoro at the alpha-position is not necessary. When a base polymer having the repeating unit (f1), (f2) or (f3) in which an acid generator is copolymerized is used, an additive-type acid generator is not necessary.
Preferred acid generators are those having the general formulae (Z1) and (Z2).
Figure BDA0000882317540000401
Wherein R is100Is hydrogen, fluorine, or C which may contain hetero atoms, be linear, branched or cyclic1-C35A monovalent hydrocarbon group. XaAnd XbEach independently hydrogen, fluorine, or trifluoromethyl, and k is an integer from 1 to 4. R101,R102And R103Each independently being optionally substituted, linear or branched C1-C10Alkyl radical, C1-C10Oxoalkyl or C2-C10Alkenyl, or optionally substituted C6-C18Aryl radical, C7-C19Aralkyl or aryloxyalkyl, or R101,R102And R103Any two or more of them may be bonded to each other to form a ring with the sulfur atom to which they are bonded. R104And R105Each independently of the others being straight-chain, branched or cyclic C1-C20A monovalent hydrocarbon radical which may be substituted or interrupted by heteroatoms, or R104And R105May be bonded to each other to form a ring with the sulfur atom to which they are bonded. R106Is straight-chain, branched or cyclic C1-C20Divalent hydrocarbon groups, which may be substituted or separated by heteroatoms. L' is a single bond or C which is linear, branched or cyclic1-C20Divalent hydrocarbon groups, which may be substituted or separated by heteroatoms.
Also preferred are acid generators having the general formulae (Z3) and (Z4).
Figure BDA0000882317540000411
Wherein A is hydrogen or trifluoromethyl. R101、R102And R103As defined above. R107Is a straight-chain, branched or cyclic C which may contain heteroatoms1-C35A monovalent hydrocarbon group. R108、R109And R110Each independently hydrogen or a linear, branched or cyclic C which may be separated by heteroatoms1-C20A monovalent hydrocarbon group. m and n are each an integer of 0 to 5, and p is an integer of 0 to 4. L' is a single bond, an ether bond or C which is linear, branched or cyclic1-C20Divalent hydrocarbon groups, which may be substituted or separated by heteroatoms.
When the acid generator is an acid generator having formula (Z3) or (Z4), preferably formula (Z3) or (Z4) wherein a is trifluoromethyl, a pattern having improved properties, such as a controlled line-and-space pattern having low roughness (LWR) and improved acid diffusion length or a hole pattern having improved roundness and size control, can be formed.
Illustrative, non-limiting examples of acid generators having the formulas (Z1) - (Z4) are shown below. Note that a is as defined above.
Figure BDA0000882317540000421
Figure BDA0000882317540000431
Figure BDA0000882317540000441
Figure BDA0000882317540000451
Figure BDA0000882317540000461
Suitable organic solvents include ketones such as cyclohexanone, cyclopentanone, methyl-2-n-amyl ketone, and diacetone alcohol; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, and 1-ethoxy-2-propanol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as Propylene Glycol Monomethyl Ether Acetate (PGMEA), propylene glycol monoethyl ether acetate, methyl lactate, ethyl lactate, n-butyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, propylene glycol mono-t-butyl ether acetate, methyl 2-hydroxyisobutyrate, isopropyl 2-hydroxyisobutyrate, isobutyl 2-hydroxyisobutyrate, and n-butyl 2-hydroxyisobutyrate; and lactones such as gamma-butyrolactone, which may be used alone or in admixture.
Examples of the basic compound used herein include primary, secondary and tertiary amine compounds as described in JP-A2008-111103 (USP 7,537,880), paragraphs [0146] - [0164], particularly amine compounds having a hydroxyl group, an ether group, an ester group, a lactone group, a cyano group or a sulfonate group, and compounds having a carbamate group as described in JP 3790649.
Onium salts such as sulfonium salts, iodonium salts and ammonium salts of sulfonic acids which are not fluorinated in the alpha-position (described in US 2008153030 (JP-A2008-158339)) and the onium salts of similar carboxylic acids (described in JP-A2013-037092) can be used as quenchers. When a non-fluorosulfonic or carboxylic acid salt at the α -position coexists with a fluorosulfonic, imidic or methylated acid at the α -position produced by a PAG, salt exchange occurs to produce a non-fluorosulfonic or carboxylic acid at the α -position. Since the α -position non-fluorinated sulfonic acid or carboxylic acid has insufficient acid strength to induce deprotection reaction of the resist resin, the relevant sulfonium salt, iodonium salt or ammonium salt functions as a quencher. In particular, since sulfonium and iodonium salts of α -position non-fluorinated sulfonic and carboxylic acids are photolytic, the quenching ability of those moieties that accept high optical intensities is reduced and the concentration of α -position fluorinated sulfonic, imide, or methylated acids is increased. This enables the formation of a pattern with improved contrast in the exposure area, and thus improved focus margin (margin) or DOF and satisfactory dimensional control.
In the case where the polarity converting unit of formula (3) in the base resin has high reactivity with an acid, the acid for eliminating the protective group is not necessarily α -fluorosulfonic acid, imide acid or methylated acid. In some cases, even when a non-fluorosulfonic acid at the α -position is used, deprotection reaction can occur. In this case, since the onium salt of sulfonic acid cannot be used as a quencher, it is preferable to use the onium salt of carboxylic acid alone as a quencher.
Illustrative, non-limiting examples of alpha-position non-fluorosulfonate and carboxylate salts are given below.
Figure BDA0000882317540000481
Figure BDA0000882317540000491
Figure BDA0000882317540000501
Figure BDA0000882317540000511
Figure BDA0000882317540000521
Figure BDA0000882317540000531
Figure BDA0000882317540000541
Figure BDA0000882317540000551
Exemplary surfactants are described in JP-A2008-111103, paragraphs [0165] - [0166 ]. Exemplary solubility modifiers are described in JP-A2008-122932 (US 2008090172), paragraphs [0155] - [0178], and exemplary acetylenic alcohols are described in paragraphs [0179] - [0182 ].
It is to be noted that a suitable amount of the organic solvent to be used is 50 to 10,000 parts by weight, preferably 100 to 5,000 parts by weight, a suitable amount of the dissolution adjusting agent is 0 to 50 parts by weight, preferably 0 to 40 parts by weight, and a suitable amount of the basic compound is 0 to 100 parts by weight, preferably 0.001 to 50 parts by weight, relative to 100 parts by weight of the base resin. The amounts of the surfactant and the acetylene alcohol may be appropriately determined for specific purposes.
To improve the water repellency on the surface of the spin-coated resist film, a polymer additive may also be added. The water repellency improver can be used in a topcoat-free immersion lithography process. These water repellency improvers have a specific structure with a 1,1,1,3,3, 3-hexafluoro-2-propanol residue and are described in JP-a2007-297590, JP-a 2008-111103, JP-a2008-122932, JP-a2012-128067 and JP-a 2013-057836.
The water repellency improver is described in more detail. Preferred are homopolymers consisting of one fluorine-containing unit, copolymers consisting of more than one fluorine-containing unit and copolymers consisting of a fluorine-containing unit and other units. Suitable fluorine-containing units and other units are shown below, but are not limited thereto. It should be noted that R55Is hydrogen or methyl.
Figure BDA0000882317540000571
Figure BDA0000882317540000581
Figure BDA0000882317540000591
Figure BDA0000882317540000601
Figure BDA0000882317540000611
Figure BDA0000882317540000621
The water repellency improver added to the resist composition should be soluble in an aqueous alkali solution as a developer. The water repellency improver having a specific structure with a 1,1,1,3,3, 3-hexafluoro-2-propanol residue can be well dissolved in a developer. The polymer having an amine salt or amino group copolymerized as a repeating unit is useful as a water-repellent additive and is effective for preventing evaporation of acid during PEB, any hole pattern opening failure after development, and bridging of line-space patterns. A suitable amount of the water repellency improver is 0.1 to 20 parts by weight, preferably 0.5 to 10 parts by weight, relative to 100 parts by weight of the base resin.
A crosslinker may be added to the resist composition to facilitate the formation of negative patterns via polarity switching of the inventive polymer. Suitable crosslinking agents are described in JP-A2006-145755. The crosslinking agent is preferably used in an amount that does not interfere with the high resolution performance resulting from the polarity switching and solubility changes induced by the dehydration reaction of the repeating units derived from the monomers of the present invention. A suitable amount of the crosslinking agent is 1 to 30 parts by weight, preferably 3 to 20 parts by weight, relative to 100 parts by weight of the base resin.
Method of producing a composite material
Resist compositions comprising the polymers of the present invention, chemically amplified resist compositions typically comprising the polymers of the present invention, optionally a basic compound and an acid generator in an organic solvent, are used in the manufacture of various integrated circuits. The patterning using the resist composition can be performed by a known photolithography method. The process typically includes coating, prebaking, exposing, PEB, and developing. Any additional steps may be added if desired.
The negative-tone resist composition is first applied to a substrate (e.g., Si, SiO) on which an integrated circuit is to be formed using a suitable coating technique such as spin coating, roll coating, flow coating, dipping, spray coating, or blade coating2SiN, SiON, TiN, WSi, BPSG, SOG, or a multilayer film including a silicon-containing antireflection coating or an organic hydrocarbon film) or a substrate on which a mask circuit is to be formed (for example, Cr, CrO, CrON, MoSi, or SiO)2) The above. The coating is pre-baked on a hot plate preferably at a temperature of 60-150 c for 10 seconds to 30 minutes, more preferably 80-120 c for 30 seconds to 20 minutes. The resulting resist film is usually 0.01 to 2.0 μm thick.
The resist film is then exposed to a desired pattern of high energy radiation, such as UV, deep UV, EB, EUV (soft x-ray), x-ray, excimer laser, gamma-ray or synchrotron radiation, either directly or through a mask. The exposure dose is preferably about 1-200mJ/cm2More preferably about 10-100mJ/cm2Or about 0.1-100. mu.C/cm2More preferably about 0.5-50 μ C/cm2. The resist film is further baked (PEB) on a hot plate preferably at 60 to 150 ℃ for 10 seconds to 30 minutes, more preferably at 80 to 120 ℃ for 30 seconds to 20 minutes.
The resist film is then developed in an alkaline developer using conventional techniques such as dipping, spin-on immersion and spraying techniques for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes. Typical developers are 0.1-10 wt%, preferably 2-5 wt% aqueous solutions of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), or tetrabutylammonium hydroxide (TBAH). The resist film in the exposed areas is not dissolved in the developer, whereas the resist film in the unexposed areas is dissolved. In this way, a desired negative pattern is formed on the substrate. After the development step, the patterned resist film is rinsed with water, preferably for 3 seconds to 3 minutes, more preferably for 5 seconds to 2 minutes, using conventional techniques such as immersion, spin-on immersion, and spray techniques. It is appreciated that the resist compositions of the invention are most suitable for micropatterning using high energy radiation such as KrF and ArF excimer laser, EB, EUV (soft x-ray), x-ray, gamma-ray and synchrotron radiation.
Can adopt heat flow,
Figure BDA0000882317540000641
Or the DSA method shrinks the hole pattern or the groove pattern after development. The hole pattern may be shrunk by applying a shrinking agent thereto and baking such that the shrinking agent may undergo crosslinking at the resist surface as a result of diffusion of the acid catalyst from the resist layer during baking and the shrinking agent may adhere to the sidewalls of the hole pattern. The baking is preferably carried out at a temperature of 70-180 c, more preferably 80-170 c, for a time of 10-300 seconds. Excess shrink is peeled off and the hole pattern is shrunk.
Examples
The following examples of the present invention are given by way of illustration and not by way of limitation. The abbreviation "pbw" means parts by weight. For all polymers, Mw and Mn were determined by GPC using tetrahydrofuran solvent against polystyrene standards and from this the dispersity Mw/Mn was calculated.
[1] Synthesis of monomers
Example 1
Synthesis of monomer 1
Figure BDA0000882317540000651
Examples 1 to 1
Synthesis of triol 1
A solution of 56g of hydroxy-ester 1 in 150mL of THF was added dropwise to 1,080mL of a 1.0mol/L solution of methyl magnesium chloride in THF at 25-45 deg.C under a nitrogen atmosphere. The contents were stirred at 50 ℃ for 10 hours. The reaction solution was then ice-cooled, and a mixture of 108g of ammonium chloride and 908g of a 2.4 wt% aqueous hydrochloric acid solution was added dropwise thereto to terminate the reaction. The usual water treatment, solvent distillation, recrystallization from acetone/diisopropyl ether, filtration and drying were then carried out to give 48g of triol 1 (yield 85%).
IR(D-ATR):ν=3331,2972,2930,2909,2855,1453,1417,1380,1367,1337,1327,1275,1237,1208,1175,1161,1138,1119,1107,1055,1032,1025,987,970,950,910,869,841,832,786,749,633,617,601,592cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=1.00(12H,s),1.26-1.38(12H),2.12(1H,m),3.84(2H,s),4.19(1H,s)ppm
examples 1 to 2
Synthesis of monomer 1
23.3g of methacryloyl chloride are added dropwise to a mixture of 37g of triol 1, 30g of triethylamine, 1.7g of N, N-dimethylaminopyridine and 200mL of acetonitrile at 25-45 ℃ under a nitrogen atmosphere. The contents were stirred at 45 ℃ for 8 hours. The reaction solution was then ice-cooled, and 100mL of a saturated aqueous solution of sodium hydrogencarbonate was added dropwise thereto to stop the reaction. Then, the usual water treatment, solvent distillation, recrystallization from acetone/hexane, filtration and drying were carried out to obtain 37g of monomer 1 (yield 80%).
IR(D-ATR):ν=3385,2974,2941,2885,2869,1709,1636,1558,1450,1409,1377,1342,1323,1304,1169,1139,1116,1095,1010,995,986,947,914,872,813,783,748,659,618,559cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=1.00(12H,s),1.30-1.38(3H),1.42-1.48(3H),1.78(2H,d),1.81(3H,s),1.89(2H,d),1.92(2H,s),2.23(1H,m),3.99(2H,s),5.56(1H,s),5.91(1H,s)ppm
example 2
Synthesis of monomer 2
Figure BDA0000882317540000661
Example 2-1
Synthesis of triol 2
A solution of 50g of lactone 1 in 200mL of THF was added dropwise to 1,150mL of a 1.0mol/L solution of methylmagnesium chloride in THF at 25-45 deg.C under a nitrogen atmosphere. The contents were stirred at 50 ℃ for 10 hours. The reaction solution was then ice-cooled, and a mixture of 115g of ammonium chloride and 960g of a 2.4 wt% aqueous hydrochloric acid solution was added dropwise thereto to stop the reaction. The usual water treatment, solvent distillation, recrystallization from acetone and diisopropyl ether, filtration and drying were then carried out to give 52g of triol 2 (yield 90%).
1H-NMR(600MHz,DMSO-d6The following are added:
=1.11(1H,dd),1.19(3H,s),1.28(1H,m),1.29(3H,s),1.40(3H,s),1.50(3H,s),1.68-1.76(2H),2.09(1H,d),2.27-2.35(2H),2.44(1H,m),3.98(1H,m),6.21(1H,s),6.37(1H,d),7.30(1H,s)ppm
examples 2 to 2
Synthesis of monomer 2
43g of methacrylic anhydride were added dropwise to a mixture of 45g of triol 2, 40g of triethylamine, 2.4g of N, N-dimethylaminopyridine and 200mL of THF at 25-45 ℃ under a nitrogen atmosphere. The contents were stirred at 45 ℃ for 10 hours. The reaction solution was then ice-cooled, and 100mL of a saturated aqueous solution of sodium hydrogencarbonate was added dropwise thereto to stop the reaction. Then, usual water treatment, solvent distillation, recrystallization from ethyl acetate and hexane, filtration and drying were carried out to obtain 53g of monomer 2 (yield 90%).
IR(D-ATR):ν=3254,3164,3022,2960,2933,2883,1704,1636,1498,1576,1449,1412,1381,1363,1328,1301,1259,1202,1180,1162,1135,1107,1047,1018,953,934,862,850,835,814,776,733,627,570cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=1.12(3H,s),1.25(1H,m),1.24(3H,s),1.30(1H,m),1.41(3H,s),1.42(3H,s),1.68(1H,m),1.86(3H,s),2.16(1H,ddd),2.23(1H,dd),2.42(1H,m),2.58(1H,m),2.63(1H,m),4.94(1H,m),5.56(2H),5.81(1H,s),6.31(1H,s)ppm
example 3
Synthesis of monomer 3
Figure BDA0000882317540000671
Example 3-1
Synthesis of triol 3
Triol 3 was synthesized by the same procedure as in example 2-1, except that hydroxy-lactone 1 was used as the starting reactant. Immediately after the post-reaction treatment, triol 3 is ready for use in the subsequent steps without further purification.
Examples 3 to 2
Synthesis of monomer 3
Monomer 3 was synthesized by the same procedure as in example 2-2, except that triol 3 was used as the starting reactant. White crystals, 72% yield in two steps from hydroxy-lactone 1.
IR(D-ATR):ν=3160,3003,2977,2920,2877,1709,1639,1628,1498,1466,1437,1393,1381,1367,1322,1248,1202,1153,1051,1005,985,956,933,902,857,847,814,779,729,701,645,610,599cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=1.20(3H,s),1.21(6H),1.22(3H,s),1.31(1H,d),1.43(1H,m),1.47(1H,d),1.75(1H,m),1.83(1H,m),1.84(3H,s),1.87(1H,m),2.01(1H,m),2.05(1H,d),4.62(1H,d),5.62(1H,m),5.97(1H,m),6.03(1H,s),6.10(1H,s)ppm
example 4
Synthesis of monomer 4
Figure BDA0000882317540000681
Example 4-1
Synthesis of triol 3
Triol 4 was synthesized by the same procedure as in example 2-1, except that hydroxy-ester 2 was used as the starting reactant. Immediately after the post-reaction treatment, triol 4 is ready for use in the subsequent steps without further purification.
Example 4 to 2
Synthesis of monomer 4
Monomer 4 was synthesized by the same procedure as in example 2-2, except that triol 4 was used as the starting reactant. White crystals, two-step yield 70% from hydroxy-ester 2.
IR(D-ATR):ν=3314,2973,2922,2898,1709,1636,1468,1446,1421,1384,1371,1338,1322,1300,1206,1173,1159,1141,1120,1055,1038,1008,979,968,939,905,896,850,815,658,620,608cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=1.07(3H,s),1.17(3H,s),1.19(3H,s),1.28(3H,s),1.34(1H,d),1.38(1H,d),1.45(1H,d),1.48(1H,m),1.73(1H,m),1.84(3H,s),1.88(1H,dd),2.09(1H,d),2.29(1H,d),5.11(1H,d),5.19(1H,s),5.29(1H,s),5.62(1H,m),5.97(1H,m)ppm
example 5
Synthesis of monomer 5
Figure BDA0000882317540000691
Monomer 5 was synthesized by the same procedure as in example 1-2, except that acryloyl chloride was used as the starting reactant. White crystals, yield 86%.
Example 6
Synthesis of monomer 6
Figure BDA0000882317540000701
Monomer 6 was synthesized by the same procedure as in example 1-2, except that methacryloxyacetyl chloride was used as a starting reactant. White crystals, yield 76%.
Example 7
Synthesis of monomer 7
Figure BDA0000882317540000702
A solution of 61g of hydroxy-ester 3 in 500mL of THF was added dropwise to 1,500mL of a 1.0mol/L solution of methylmagnesium chloride in THF at 25-45 deg.C under a nitrogen atmosphere. The contents were stirred at 50 ℃ for 10 hours. The reaction solution was then ice-cooled. Subsequently, 58g of methacrylic anhydride were added dropwise at below 30 ℃ to the suspension of the alkoxy compound corresponding to the tetrol 1. The contents were stirred at 25 ℃ for 4 hours. The reaction solution was then ice-cooled, and a mixture of 150g of ammonium chloride and 1,250g of a 2.4 wt% aqueous hydrochloric acid solution was added dropwise thereto to stop the reaction. Then, the usual water treatment, solvent distillation, recrystallization from ethyl acetate/THF/hexane, filtration and drying were carried out to obtain 37g of monomer 7 (two-step yield 51%).
Example 8
Synthesis of monomer 8
Figure BDA0000882317540000711
Example 8-1
Synthesis of triol 5
Triol 5 was synthesized by the same procedure as in example 2-1, except that hydroxy-ester 4 was used as the starting reactant. Immediately after the post-reaction treatment, triol 5 is ready for use in the subsequent steps without further purification.
Example 8 to 2
Synthesis of monomer 8
Monomer 8 was synthesized by the same procedure as in example 2-2, except that triol 5 was used as the starting reactant. White crystals, 80% yield in two steps from hydroxy-ester 4.
IR(D-ATR):ν=3471,3278,2969,2864,1708,1639,1452,1383,1317,1300,1258,1219,1177,1146,1120,1091,1020,982,948,898,866,846,815,797,755,720,652,621,611,593,567,556cm-1
1H-NMR(600MHz,DMSO-d6The following are added:
=0.68(1H,m),0.96-1.07(14H),1.30(2H,m),1.81-1.86(4H),2.01(2H,m),4.12(2H,s),4.66(1H,m),5.63(1H,m),5.99(1H,m)ppm
[2] synthesis of polymers
Examples 9 to 27 and comparative examples 1 to 9
The respective polymers for the resist composition were prepared by combining monomers in cyclopentanone solvent, performing copolymerization reaction, crystallizing from hexane, washing several times with hexane, separating and drying(polymers 1-19 and comparative polymers 1-9). By passing1H-NMR and13the composition of the polymer was analyzed by C-NMR spectroscopy.
Example 9
Polymer 1
Mw=8,500
Mw/Mn=1.67
Figure BDA0000882317540000721
Example 10
Polymer 2
Mw=8,400
Mw/Mn=1.65
Figure BDA0000882317540000722
Example 11
Polymer 3
Mw=8,300
Mw/Mn=1.67
Figure BDA0000882317540000723
Example 12
Polymer 4
Mw=8,300
Mw/Mn=1.66
Figure BDA0000882317540000731
Example 13
Polymer 5
Mw=8,500
Mw/Mn=1.66
Figure BDA0000882317540000732
Example 14
Polymer 6
Mw=8,600
Mw/Mn=1.61
Figure BDA0000882317540000733
Example 15
Polymer 7
Mw=8,400
Mw/Mn=1.67
Figure BDA0000882317540000741
Example 16
Polymer 8
Mw=8,500
Mw/Mn=1.62
Figure BDA0000882317540000742
Example 17
Polymer 9
Mw=8,500
Mw/Mn=1.64
Figure BDA0000882317540000743
Example 18
Polymer 10
Mw=8,600
Mw/Mn=1.62
Figure BDA0000882317540000751
Example 19
Polymer 11
Mw=8,300
Mw/Mn=1.61
Figure BDA0000882317540000752
Example 20
Polymer 12
Mw=8,500
Mw/Mn=1.63
Figure BDA0000882317540000753
Example 21
Polymer 13
Mw=8,300
Mw/Mn=1.62
Figure BDA0000882317540000761
Example 22
Polymer 14
Mw=8,300
Mw/Mn=1.62
Figure BDA0000882317540000762
Example 23
Polymer 15
Mw=8,500
Mw/Mn=1.60
Figure BDA0000882317540000763
Example 24
Polymer 16
Mw=8,100
Mw/Mn=1.65
Figure BDA0000882317540000771
Example 25
Polymer 17
Mw=8,000
Mw/Mn=1.63
Figure BDA0000882317540000772
Example 26
Polymer 18
Mw=8,200
Mw/Mn=1.64
Figure BDA0000882317540000773
Example 27
Polymer 19
Mw=8,100
Mw/Mn=1.63
Figure BDA0000882317540000781
Comparative example 1
Comparative Polymer 1
Mw=8,400
Mw/Mn=1.65
Figure BDA0000882317540000782
Comparative example 2
Comparative Polymer 2
Mw=8,500
Mw/Mn=1.63
Figure BDA0000882317540000783
Comparative example 3
Comparative Polymer 3
Mw=8,700
Mw/Mn=1.65
Figure BDA0000882317540000791
Comparative example 4
Comparative Polymer 4
Mw=8,600
Mw/Mn=1.62
Figure BDA0000882317540000792
Comparative example 5
Comparative Polymer 5
Mw=8,400
Mw/Mn=1.66
Figure BDA0000882317540000793
Comparative example 6
Comparative Polymer 6
Mw=8,600
Mw/Mn=1.63
Figure BDA0000882317540000801
Comparative example 7
Comparative Polymer 7
Mw=8,600
Mw/Mn=1.63
Figure BDA0000882317540000802
Comparative example 8
Comparative Polymer 8
Mw=8,500
Mw/Mn=1.61
Figure BDA0000882317540000803
Comparative example 9
Comparative Polymer 9
Mw=8,400
Mw/Mn=1.65
Figure BDA0000882317540000804
[3] Preparation of resist composition
Examples 28 to 46 and comparative examples 10 to 18
By using the inventive polymers 1 to 19 or the comparative polymers 1 to 9 as a base resin, the polymers and other components were dissolved in a solvent according to the formulations shown in tables 1 and 2 and passed through a resin having a pore diameter of 0.2 μm
Figure BDA0000882317540000812
The filter was subjected to filtration to thereby prepare resist compositions R-01 to R-28.
In tables 1 and 2, acid generators (PAG-1 to 4), water-repellent polymers (SF-1), sensitivity adjusters (Q-1 to 4), crosslinking agents (XL-1) and solvents are shown below.
Photoacid generators PAG-1 to PAG-4
Figure BDA0000882317540000811
Sensitivity modifiers Q-1 to Q-4
Figure BDA0000882317540000821
Water-repellent Polymer SF-1
Mw=8,700
Mw/Mn=1.85
Figure BDA0000882317540000822
Cross-linking agent XL-1
Figure BDA0000882317540000823
PGEE propylene glycol monoethyl ether
Gamma-butyrolactone of GBL
TABLE 1
Figure BDA0000882317540000831
TABLE 2
Figure BDA0000882317540000841
[4] Evaluation of swelling amount of resist during development by QCM (Quartz Crystal microbalance) technique
Examples 47 to 50 and comparative example 19
The resist solutions prepared above (in tables 1 and 2) were spin coated on a QCM substrate and baked on a hot plate at 100 ℃ for 60 seconds to form a 100nm thick resist film. At a rate of from 1mJ/cm2To 13mJ/cm2At 1mJ/cm2The resist film was exposed by an ArF open frame exposure system and baked (PEB) on a hot plate at the temperature shown in table 3 for 60 seconds at incrementally varying doses. The QCM substrate was placed on a quartz oscillator microbalance rdan-Qz 3(Litho Tech Japan co., Ltd.) for resist development analysis. Development in a 2.38 wt% TMAH aqueous solution was performed, during which the thickness change of the resist film was observed as a function of the development time. From a graph plotting the film thickness variation with respect to the development time for each dose, the exposure dose and the maximum swelling ratio (maximum swelling amount normalized per initial film thickness) corresponding to the maximum swelling amount were determined, and the results are shown in table 3. A smaller value of the maximum swelling ratio indicates that the swelling of the resist film is suppressed.
TABLE 3
Figure BDA0000882317540000851
As can be seen from Table 3, the resist compositions within the scope of the present invention exhibit a lower maximum swelling ratio than the comparative resist compositions.
[5] Test for etching resistance
Examples 51 to 53 and comparative examples 20 to 21
Surface-treated in the gas phase of Hexamethyldisilazane (HMDS) at 90 ℃ for 60 secondsOn a silicon wafer, the resist solutions in tables 1 and 2 were spin-coated and baked (PAB) on a hot plate at 100 ℃ for 60 seconds to form a resist film 100nm thick. The entire surface of the wafer was subjected to open frame exposure using an ArF excimer laser scanner (NSR-307E, NA 0.85, produced by Nikon corp.). The exposure dose was 50mJ/cm2So that the PAG may generate enough acid to induce the deprotection reaction. The PEB was then performed at the temperature shown in table 4 for 60 seconds to promote the dehydration or crosslinking reaction on the base resin of the resist film. The portion of the base resin subjected to the dehydration reaction corresponds to an insoluble region in development. The reduction in resist film thickness caused by exposure and PEB was determined and divided by the initial film thickness, and the result was described as PEB shrinkage (%).
Further, the resist film was developed in a 2.38 wt% TMAH aqueous solution for 30 seconds. The thickness of the resist film after development was measured. The minimum dissolution rate (nm/s) was calculated from the difference between the film thickness after PEB and the film thickness after development. A lower PEB shrinkage or a lower minimum dissolution rate is preferable in that the film thickness necessary for dry etching is maintained or the initial film thickness can be reduced, which is advantageous in terms of resolution. The results are shown in table 4.
TABLE 4
Figure BDA0000882317540000861
As can be seen from Table 4, resist compositions within the scope of the invention exhibit low PEB shrinkage and a slow minimum dissolution rate. As a result, the patterned film remains thick after development, and the etching resistance after patterning is high.
[6] ArF photolithography patterning test 1
Examples 54 to 69 and comparative examples 22 to 29
On a silicon wafer that had been coated with an antireflective coating ARC29A (Nissan Chemical Industries, Ltd.) to a thickness of 78nm, the resist composition (in tables 1 and 2) was spin coated and then baked on a hot plate at 100 ℃ for 60 seconds to form a 100nm thick resist film. Using an ArF excimer laser scanner NSR-S307E (Nikon Corp., NA 0.85, σ 0.93/0.74, annular illumination), in a modified mannerDose and focus variation (dose spacing: 1 mJ/cm)2The focal point interval: 0.025 μm) is exposed simultaneously through a 6% halftone phase shift mask with a line-gap pattern having a gap width of 90nm and a pitch of 180nm, a gap width of 80nm and a pitch of 160nm, or a gap width of 70nm and a pitch of 140nm (on-wafer size), or a trench pattern having a gap width of 90nm and a pitch of 1,650nm (on-wafer size). After exposure, the wafer was baked (PEB) at the temperature shown in table 5 for 60 seconds and spin-immersion developed in 2.38 wt% TMAH aqueous solution for 30 seconds. The wafer is rinsed with deionized water and spin dried to form a negative pattern. The developed L/S pattern and trench pattern were observed under TD-SEM S-9380(Hitachi Hitechnologies, Ltd.).
Evaluation of sensitivity
As an index of sensitivity, an optimum dose (Eop, mJ/cm) to provide an L/S pattern having a gap width of 90nm and a pitch of 180nm was determined2). A smaller dose value indicates a higher sensitivity.
Evaluation of Exposure Latitude (EL)
The exposure dose providing an L/S pattern with a gap width of 90nm + -10% (i.e., 81nm-99nm) was determined. EL (%) was calculated from the exposure dose according to the following formula:
EL(%)=(|E1-E2|/Eop)×100
wherein E1To provide an exposure dose of the L/S pattern with a gap width of 81nm and a pitch of 180nm, E2Exposure dose to provide an L/S pattern with a gap width of 99nm and a pitch of 180nm, and EopTo provide an optimum exposure dose for an L/S pattern having a gap width of 90nm and a pitch of 180 nm.
Evaluation of Line Width Roughness (LWR)
The L/S pattern formed by exposure at the optimum dose (determined in sensitivity evaluation) was observed under TD-SEM. The gap width was measured at 10 points longitudinally spaced, from which a 3-fold value (3 σ) of the standard deviation (σ) was determined and recorded as LWR. A smaller value of 3 sigma indicates a pattern with lower roughness and more uniform gap width.
Evaluation of depth of focus (DOP)
As an index of DOP, a range providing a focal point of a groove pattern having a gap width of 90nm ± 10% (i.e., 81-99nm) was determined. A larger value indicates a deeper DOP.
Evaluation of dissolution
Dissolved to the smallest dimension that can be resolved in the L/S pattern with the dimension of 70nm-90nm (spacing 140-180 nm). A smaller value indicates better dissolution.
The results are shown in table 5.
TABLE 5
Figure BDA0000882317540000881
As can be seen from Table 5, resist compositions within the scope of the present invention have practically acceptable sensitivity. Both EL and DOF have wide margins. The LWR was low as compared with the resist of the comparative example. The resolution is also excellent.
[7] ArF lithographic patterning test 2
Examples 70 to 73 and comparative example 30
On the substrate, a spin-on (spin-on) carbon film ODL-180(Shin-etsu chemical co., Ltd.) having a carbon content of 80 wt% was deposited to a thickness of 180nm and a silicon-containing spin-on hard mask SHB-a940 having a silicon content of 43 wt% was deposited thereon to a thickness of 35 nm. On the substrate for the three-layer process, a resist composition (in tables 1 and 2) was spin-coated and then baked on a hot plate at 100 ℃ for 60 seconds to form a resist film 60nm thick.
Using an ArF excimer laser immersion lithography scanner NSR-S610C (Nikon Corp., NA 1.30, σ 0.90/0.72, cross-pole (cross-pole) opening 35 degrees, cross-pole illumination, azimuthally polarized illumination), the dose and focus (dose spacing: 1 mJ/cm) were varied2The focal point interval: 0.025 μm) while being exposed through a 6% halftone phase shift mask with a Contact Hole (CH) pattern having a hole size of 55nm and a pitch (on-wafer size) of 110 nm. After exposure, the wafer was baked (PEB) at the temperature shown in table 6 for 60 seconds and in 2.38 wt% TMAH aqueous solutionSpin-on immersion development for 30 seconds. The wafer was rinsed with deionized water and spin dried to obtain a negative pattern. The developed CH pattern was observed under TD-SEM CG4000(Hitachi Hitechnologies, Ltd.).
Evaluation of sensitivity
As an index of sensitivity, the optimum dose (Eop, mJ/cm) to provide CH pattern having a pore size of 55nm and a pitch of 110nm was determined2). A smaller dose value indicates a higher sensitivity.
Evaluation of Exposure Latitude (EL)
The exposure dose to provide a CH pattern with a pore size of 55nm ± 10% (i.e., 49.5nm-60.5nm) was determined. EL (%) was calculated from the exposure dose according to the following formula:
EL(%)=(|E1-E2|/Eop)×100
wherein E1To provide an exposure dose of a CH pattern with a hole size of 49.5nm and a pitch of 110nm, E2Exposure dose to provide a CH pattern with a hole size of 60.5nm and a pitch of 110nm, and EopTo provide an optimum exposure dose for CH patterns with a hole size of 55nm and a pitch of 110 nm.
Evaluation of Critical Dimension Uniformity (CDU)
For CH patterns formed by exposure at the optimum dose (determined in sensitivity evaluation), the hole size was measured in 10 regions (9 contact holes per region) irradiated with the same dose, from which a 3-fold value (3 σ) of the standard deviation (σ) was determined and described as CDU. A smaller value of 3 σ represents a CH pattern with improved CDU.
The results are shown in table 6.
TABLE 6
Figure BDA0000882317540000901
As can be seen from table 6, the resist compositions within the scope of the present invention exhibited practically acceptable sensitivity, wide EL margin and excellent CDU.
[8] EB write test
Examples 74 to 77 and comparative examples 31 to 32
Each of the inventive resist compositions or comparative resist compositions in tables 1 and 2 was spin-coated on a silicon wafer that had been surface-treated in HMDS gas phase at 90 ℃ for 60 seconds and prebaked on a hot plate at 100 ℃ for 60 seconds to form a resist film 60nm thick. EB lithography systems JBX-9000(JEOL, Ltd.) were used at an acceleration voltage of 50kV with varying doses (dose variation pitch 2 μ C/cm)2) While simultaneously writing an L/S pattern with a gap width of 100nm and a pitch (on-wafer size) of 200 nm. After image exposure, the resist film was baked (PEB) at the temperature shown in table 7 for 60 seconds, spin-immersion developed in a 2.38 wt% TMAH aqueous solution for 30 seconds, rinsed with deionized water, and dried to obtain a negative pattern. The developed L/S pattern was observed under TD-SEMS-9380(Hitachi Hitechnologies, Ltd.).
Evaluation of sensitivity
As an index of sensitivity, an optimum dose (Eop, μ C/cm) to provide an L/S pattern having a gap width of 100nm and a pitch of 200nm was determined2). A smaller dose value indicates a higher sensitivity.
Evaluation of Exposure Latitude (EL)
The exposure dose providing an L/S pattern with a gap width of 100nm + -10% (i.e., 90nm-110nm) was determined. EL (%) was calculated from the exposure dose according to the following formula:
EL(%)=(|E1-E2|/Eop)×100
wherein E1To provide an exposure dose of the L/S pattern with a gap width of 90nm and a pitch of 200nm, E2Exposure dose to provide an L/S pattern with a gap width of 110nm and a pitch of 200nm, and EopTo provide an optimum exposure dose for an L/S pattern having a gap width of 100nm and a pitch of 200 nm.
Evaluation of Line Width Roughness (LWR)
The L/S pattern formed by exposure at the optimum dose (determined in sensitivity evaluation) was observed under TD-SEM. The gap width was measured at 10 points longitudinally spaced, from which a 3-fold value (3 σ) of the standard deviation (σ) was determined and recorded as LWR. A smaller value of 3 sigma indicates a pattern with lower roughness and more uniform gap width.
TABLE 7
Figure BDA0000882317540000921
As can be seen from Table 7, resist compositions within the scope of the present invention exhibit practically acceptable sensitivity, wide margin of EL, and low LWR.
Japanese patent application nos. 2014-256295 and 2015-179394 are incorporated herein by reference.
While certain preferred embodiments have been described, many modifications and variations are possible in light of the above teaching. It is therefore to be understood that within the scope of the appended claims, the invention may be practiced other than as specifically described.

Claims (5)

1. A polymer consisting of a repeat unit having formula (3), a repeat unit selected from at least one of repeat units having formulae (a) - (D), and an optional repeat unit selected from at least one of repeat units having formulae (f1) - (f 3):
Figure FDF0000008767840000011
wherein R is1Is hydrogen or methyl, R2And R3Each independently of the others being straight-chain, branched or cyclic C1-C10Monovalent hydrocarbon radical, R2And R3May be bonded to each other to form a cycloaliphatic group with the carbon atoms to which they are bonded; x1Is straight-chain, branched or cyclic C1-C20A divalent hydrocarbon group of which any component-CH2-the moiety may be replaced by-O-or-C (═ O) -; z1Is a group selected from the group consisting of the following formulae,
Figure FDF0000008767840000012
Figure FDF0000008767840000021
wherein the dotted line represents a bond; k is a radical of1Is 0 or 1, and k2Is an integer of 2 to 4, and,
Figure FDF0000008767840000022
wherein R is1As defined above, ZAIs C1-C20A fluoroalcohol-containing group, ZBIs C1-C20A group containing a phenolic hydroxyl group, ZCIs C1-C20Containing carboxyl groups, ZDIs a substituent having a lactone structure, a sultone structure, a carbonate structure, a cyclic ether structure, an acid anhydride structure, an alkoxycarbonyl group, a sulfonamide or carbamoyl structure moiety, X2Is a single bond, methylene, ethylene, phenylene, fluorophenylene, naphthylene, -O-R01-or-C (═ O) -Z2-R01-,Z2Is oxygen or NH, R01Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene, phenylene or naphthylene, which may contain carbonyl, ester, ether or hydroxyl moieties,
Figure FDF0000008767840000023
wherein R is11Each independently is hydrogen or methyl, R12Is a single bond, phenylene, -O-R21-, or-C (═ O) -Z22-R21-, wherein Z22Is oxygen or NH and R21Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene or phenylene which may contain a carbonyl group (-CO-), an ester group (-COO-), an ether group (-O-) or a hydroxyl group, L is a single bond or-Z33-C (═ O) -O-, wherein Z is33Is straight-chain, branched or cyclic C1-C20A divalent hydrocarbon radical which may be substituted by hetero atoms, Z11Is a single bond, methylene, ethylene, phenylene, fluorophenylene, -O-R22-, or-C (═ O) -Z44-R22-, wherein Z44Is oxygen or NH and R22Is straight-chain, branched or cyclic C1-C6Alkylene, straight-chain, branched or cyclic C2-C6Alkenylene or phenylene which may contain carbonyl, ester, ether or hydroxyl groups, M-Being a non-nucleophilic counterion, R13-R20Each independently of the others being straight-chain, branched or cyclic C1-C20Monovalent hydrocarbon radicals which may be substituted or interrupted by hetero atoms, R13And R14The pair of sulfur atoms which may be bonded to each other to form a ring with which they are bonded, and R15、R16And R17Or any two or more of R18、R19And R20Any two or more of them may be bonded to each other to form a ring together with the sulfur atom to which they are bonded.
2. The polymer of claim 1, wherein the amounts of the recurring unit of formula (3), the recurring unit selected from at least one of units (a) - (D), and the recurring unit selected from at least one of units (f1) - (f3) are 5 to 80 mol%, 20 to 95 mol%, and 0 to 30 mol%, respectively.
3. A resist composition comprising a base resin comprising the polymer of claim 1, an acid generator, and an organic solvent.
4. A pattern forming method comprising the steps of: coating the resist composition of claim 3 onto a substrate, baking to form a resist film, exposing the resist film to high energy radiation to define exposed and unexposed regions, baking, and developing the exposed resist film in a developer to form a pattern.
5. The pattern forming method according to claim 4, wherein the developing step uses an alkali developer that dissolves an unexposed area of the resist film and does not dissolve an exposed area of the resist film to form a negative pattern.
CN201510955723.1A 2014-12-18 2015-12-18 Monomer, polymer, resist composition and patterning method Active CN105717744B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-256295 2014-12-18
JP2014256295 2014-12-18
JP2015-179394 2015-09-11
JP2015179394A JP6468139B2 (en) 2014-12-18 2015-09-11 Monomer, polymer compound, resist material and pattern forming method

Publications (2)

Publication Number Publication Date
CN105717744A CN105717744A (en) 2016-06-29
CN105717744B true CN105717744B (en) 2020-10-23

Family

ID=55315267

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510955723.1A Active CN105717744B (en) 2014-12-18 2015-12-18 Monomer, polymer, resist composition and patterning method

Country Status (3)

Country Link
US (1) US9758609B2 (en)
EP (1) EP3035121B1 (en)
CN (1) CN105717744B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018109701A (en) * 2017-01-04 2018-07-12 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH Chemically amplified positive type photoresist composition and pattern forming method using the same
JP6766778B2 (en) * 2017-08-23 2020-10-14 信越化学工業株式会社 Polymerizable monomers, polymers, resist materials, and pattern forming methods
CN110452115B (en) * 2018-05-08 2022-04-29 香港纺织及成衣研发中心 Synthesis method of poly 3-hydroxybutyrate oligomer, product obtained by synthesis method and application of oligomer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004074936A1 (en) * 2003-02-21 2004-09-02 Jsr Corporation Negative radiation-sensitive resin composition
JP2011141495A (en) * 2010-01-08 2011-07-21 Fujifilm Corp Pattern forming method, chemically amplified resist composition and resist film
WO2011087144A1 (en) * 2010-01-13 2011-07-21 Fujifilm Corporation Pattern forming method, pattern, chemical amplification resist composition and resist film
US20120202158A1 (en) * 2011-02-09 2012-08-09 Shin-Etsu Chemical Co., Ltd. Patterning process

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3790649B2 (en) 1999-12-10 2006-06-28 信越化学工業株式会社 Resist material
JP4469080B2 (en) * 2000-12-13 2010-05-26 信越化学工業株式会社 Novel tertiary alcohol compounds having an alicyclic structure
US6469220B2 (en) * 2000-12-25 2002-10-22 Shin-Etsu Chemical Co., Ltd. Tertiary alcohol compounds having an alicyclic structure
JP3822101B2 (en) 2001-12-26 2006-09-13 株式会社ルネサステクノロジ Radiation-sensitive composition, pattern forming method, and semiconductor device manufacturing method
JP3995575B2 (en) 2002-09-30 2007-10-24 富士フイルム株式会社 Positive resist composition
US7300739B2 (en) 2003-05-29 2007-11-27 International Business Machines Corporation Negative resists based on a acid-catalyzed elimination of polar molecules
JP4040537B2 (en) 2003-06-11 2008-01-30 東京応化工業株式会社 Negative resist composition and resist pattern forming method using the same
JP4040536B2 (en) 2003-06-11 2008-01-30 東京応化工業株式会社 Negative resist composition and resist pattern forming method using the same
JP4473103B2 (en) 2004-11-18 2010-06-02 信越化学工業株式会社 Negative resist material and pattern forming method using the same
JP2006145755A (en) 2004-11-18 2006-06-08 Pentax Corp Lens position confirmation chart, mark position detection method, and mark position detection program
JP4628809B2 (en) 2005-02-01 2011-02-09 東京応化工業株式会社 Negative resist composition and resist pattern forming method
JP4566820B2 (en) 2005-05-13 2010-10-20 東京応化工業株式会社 Negative resist composition and resist pattern forming method
JP4842844B2 (en) 2006-04-04 2011-12-21 信越化学工業株式会社 Resist material and pattern forming method using the same
JP4832165B2 (en) * 2006-05-31 2011-12-07 富士フイルム株式会社 Positive photosensitive composition and pattern forming method using the same
JP4858714B2 (en) 2006-10-04 2012-01-18 信越化学工業株式会社 Polymer compound, resist material, and pattern forming method
KR101116963B1 (en) 2006-10-04 2012-03-14 신에쓰 가가꾸 고교 가부시끼가이샤 Polymer, Resist Composition, and Patterning Process
JP4849267B2 (en) 2006-10-17 2012-01-11 信越化学工業株式会社 Resist material and pattern forming method using the same
KR101242332B1 (en) 2006-10-17 2013-03-12 신에쓰 가가꾸 고교 가부시끼가이샤 Resist Composition and Patterning Process
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
JP4355725B2 (en) 2006-12-25 2009-11-04 信越化学工業株式会社 Positive resist material and pattern forming method
JP4973876B2 (en) * 2007-08-22 2012-07-11 信越化学工業株式会社 Pattern forming method and pattern surface coating material used therefor
JP2009251392A (en) * 2008-04-08 2009-10-29 Fujifilm Corp Negative resist composition and pattern forming method
JP4569786B2 (en) 2008-05-01 2010-10-27 信越化学工業株式会社 Novel photoacid generator, resist material and pattern forming method using the same
JP5827791B2 (en) * 2009-05-15 2015-12-02 富士フイルム株式会社 Negative pattern forming method
US8835094B2 (en) * 2010-09-29 2014-09-16 Shin-Etsu Chemical Co., Ltd. Fluoroalcohol, fluorinated monomer, polymer, resist composition and patterning process
JP5282781B2 (en) 2010-12-14 2013-09-04 信越化学工業株式会社 Resist material and pattern forming method
JP5556765B2 (en) 2011-08-05 2014-07-23 信越化学工業株式会社 Chemically amplified positive resist material for ArF immersion exposure and pattern forming method
JP5737092B2 (en) 2011-09-09 2015-06-17 信越化学工業株式会社 Pattern forming method and resist composition
JP5617810B2 (en) * 2011-10-04 2014-11-05 信越化学工業株式会社 Resist protective film material and pattern forming method
JP2013250329A (en) * 2012-05-30 2013-12-12 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, and resist film, pattern formation method, electronic device manufacturing method and electronic device using said composition
JP6418763B2 (en) 2014-03-19 2018-11-07 Dmg森精機株式会社 Simulation device for manual operation of machine tools

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004074936A1 (en) * 2003-02-21 2004-09-02 Jsr Corporation Negative radiation-sensitive resin composition
JP2011141495A (en) * 2010-01-08 2011-07-21 Fujifilm Corp Pattern forming method, chemically amplified resist composition and resist film
WO2011087144A1 (en) * 2010-01-13 2011-07-21 Fujifilm Corporation Pattern forming method, pattern, chemical amplification resist composition and resist film
US20120202158A1 (en) * 2011-02-09 2012-08-09 Shin-Etsu Chemical Co., Ltd. Patterning process

Also Published As

Publication number Publication date
EP3035121A2 (en) 2016-06-22
EP3035121B1 (en) 2019-03-13
US20160179002A1 (en) 2016-06-23
EP3035121A3 (en) 2016-07-20
CN105717744A (en) 2016-06-29
US9758609B2 (en) 2017-09-12

Similar Documents

Publication Publication Date Title
CN111522198B (en) Resist composition and pattern forming method
JP5839019B2 (en) Polymer compound, chemically amplified positive resist material, and pattern forming method
KR101732217B1 (en) Positive resist composition and patterning process using same
EP3205640B1 (en) Monomer, polymer, resist composition, and patterning process
KR102142188B1 (en) Monomer, polymer, resist composition, and patterning process
KR102018415B1 (en) Polymer, monomer, resist composition, and patterning process
KR101972631B1 (en) Monomer, polymer, resist composition, and patterning process
CN105717744B (en) Monomer, polymer, resist composition and patterning method
KR102248768B1 (en) Monomer, polymer, resist composition, and patterning process
JP6717338B2 (en) Polymer compound, resist material and pattern forming method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant