CN105512381B - 时钟延迟验证方法 - Google Patents

时钟延迟验证方法 Download PDF

Info

Publication number
CN105512381B
CN105512381B CN201510875733.4A CN201510875733A CN105512381B CN 105512381 B CN105512381 B CN 105512381B CN 201510875733 A CN201510875733 A CN 201510875733A CN 105512381 B CN105512381 B CN 105512381B
Authority
CN
China
Prior art keywords
clock
synthesis
delay time
time value
file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510875733.4A
Other languages
English (en)
Other versions
CN105512381A (zh
Inventor
魏少雄
林哲民
李冰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Zhaoxin Semiconductor Co Ltd
Original Assignee
Shanghai Zhaoxin Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Zhaoxin Integrated Circuit Co Ltd filed Critical Shanghai Zhaoxin Integrated Circuit Co Ltd
Priority to CN201510875733.4A priority Critical patent/CN105512381B/zh
Publication of CN105512381A publication Critical patent/CN105512381A/zh
Application granted granted Critical
Publication of CN105512381B publication Critical patent/CN105512381B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种时钟延迟验证方法,包括:使用一约束文件,产生一第一时钟树综合规格文件,其中约束文件包括一实体电路中的多个时钟的描述;以及使用一电路设计文件以及第一时钟树综合规格文件,进行一第一时钟树综合验证模拟,以产生一第一综合后延迟时间值,其中电路设计文件包括实体电路的连接关系以及特征。

Description

时钟延迟验证方法
技术领域
本发明涉及一种时钟延迟验证方法;特别涉及一种使用时钟树综合模拟验证的时钟延迟验证方法。
背景技术
在大型集成电路(VLSI)的设计中,通常经由一电路模块(Circuit Modules)数据库所提供的组件来设计电路系统。该集成电路设计的程序中包含选择正确的模块并且连接相关的模块以提供所需的功能。在这些订制模块程序中,与使用一标准单元的数据库是密切相关的,且些微的改善皆会有助于工艺成本与功效。
大型集成电路中包含一个或多个电路模块,且彼此间有着电路机能性的连接在一起以提供规格书(Specification)的电路功能。在设计者根据规格完成电路系统的功能性设计后,为了后续的工艺中能够对集成电路的电路模块进行验证(Verification)的工作。
在一般的集成电路设计流程中,要设计一个完整的集成电路是需要反复的验证过程,因此一个有效的验证程序是需要的。
发明内容
在一实施例中提供一种时钟延迟验证方法包括:使用一约束文件,产生一第一时钟树综合规格文件,其中约束文件包括一实体电路中的多个时钟的描述;以及使用一电路设计文件以及第一时钟树综合规格文件,进行一第一时钟树综合验证模拟,以产生一第一综合后延迟时间值,其中电路设计文件包括实体电路的连接关系以及组件的参数描述。
其中,第一综合后延迟时间值包括相应于每一时钟的综合后延迟时间值。约束文件不包括时钟的多个规格要求,时钟的规格要求包括多个延迟要求。
另外,时钟延迟验证方法还包括将第一综合后延迟时间值与至少一比较值进行比较,以判断电路设计文件是否符合规则。
在一实施例中时钟延迟验证方法还包括:使用一时钟定义文件以及约束文件,产生一第二时钟树综合规格文件,其中时钟定义文件包括时钟的多个规格要求;以及使用电路设计文件以及第二时钟树综合规格文件,进行一第二时钟树综合验证模拟,以产生一第二综合后延迟时间值。
在另一实施例中时钟延迟验证方法还包括使用电路设计文件、第二时钟树综合规格文件以及一节点时间差限制,进行一第三时钟树综合验证模拟,以产生一第三综合后延迟时间值,其中节点时间差限制为实体电路中一根结点分别至多个汇聚节点之间的时间差的限制。
又令一实施例中时钟延迟验证方法还包括:使用电路设计文件、第一时钟树综合规格文件以及节点时间差限制,进行一第四时钟树综合验证模拟,以产生一第四综合后延迟时间值。
值得注意的是比较值包括第二、第三和/或第四综合后延迟时间值。
附图说明
图1为根据实施例所建构的一种时钟延迟验证装置的方块图。
图2为根据本实施例所建构的一种时钟延迟验证方法的流程图。
图3为根据本实施例所建构的另一种时钟延迟验证方法的流程图。
图4~图6为根据本实施例所建构的一种时钟延迟验证方法中比较值产生方法的流程图。
具体实施方式
以下将详细讨论本发明各种实施例的装置及使用方法。然而值得注意的是,本发明所提供的许多可行的发明概念可实施在各种特定范围中。这些特定实施例仅用于举例说明本发明的装置及使用方法,但非用于限定本发明的范围。
图1为根据实施例所构建的一种时钟延迟验证装置的方块图。时钟延迟验证装置100包括处理单元102和存储器单元104,并使用总线将其连接在一起。在某些实施例中,后端设备可以包括辨识装置、寄存器、记忆单元、应用程序和操作系统等等。除此之外,本领域技术人员也可将本发明实施于其他电子系统配置(configuration)上,例如,桌上型计算机、手持式计算机、便携式设备(portable devices)、以微处理器为基础或可编程的消费性电子产品(microprocessor-based or programmable consumer electronics)、网络计算机、迷你计算机、大型主机以及类似的设备。
处理单元102可包含一单一中央处理单元(central-processing unit;CPU)或者是关联于并行运算环境(parallel processing environment)的多个并行处理单元。存储器单元104包含只读存储器(read only memory;ROM)、快闪存储器(flash ROM)和/或动态存取存储器(random access memory;RAM),用以存储可供处理单元102执行的程序模块,以执行对一实体电路进行时钟延迟验证方法以及时钟延迟验证方法中比较值的产生方法,如图2~6所示。一般而言,程序模块包含例程(routines)、程序(program)、对象(object,又称之为“物件”)、组件(component,又称之为“元件”)或网络服务(Web Service)等。另外,存储器单元104还用以存储相应于时钟树综合验证模拟(Clock Tree Synthesis,CTS)的程序代码,并且处理单元102还用以执行时钟树综合验证模拟(Clock Tree Synthesis,CTS)。
为了对实体电路进行时钟延迟验证方法,时钟延迟验证装置100需要先获得一电路设计文件以及一约束文件。在另一实施例中,为了对实体电路进行时钟延迟验证方法,时钟延迟验证装置100除了需要先获得一电路设计文件以及一约束文件以外,亦需要先获得一节点时间差限制和/或一时钟定义文件。详细而言,电路开发者可先藉由时钟延迟验证装置100或者其他装置将实体电路转换或模拟为一电路设计文件,其中电路设计文件包括实体电路的每一组件、组件的描述以及组件间连接关系等等,本发明不限于此。详细而言,电路设计文件包含完整的功能描述(Function Description),并利用硬件描述语言(Hardware Description Language,HDL),例如VHSIC(Very High Speed IntegratedCircuit)或Verilog等设计描述接口去做电路设计的功能性描述,如电路设计中的输出和输入信号的宣告或称为声明(assert)、电路的内部结构及行为(Behavior)等等。另外,电路开发者可先藉由时钟延迟验证装置100或者其他装置根据实体电路中多个时钟的描述产生一约束文件,其中约束文件中时钟的描述包括实体电路中时钟的数量、列表、频率等等,本发明不限于此。另外,电路开发者也可藉由时钟延迟验证装置100或者其他装置根据实体电路中一根结点(Root)至多个汇聚节点(Sink)彼此间时间差距的最大容忍值定义节点时间差限制。详细而言,实体电路中时钟信号由根结点传送至汇聚节点。一个根结点可连接至多个汇聚节点。在理想的状态下,时钟从根结点到汇聚节点的延迟时间值为0,故所有的汇聚节点至根结点彼此间没有时间上的差异。然而,实际上因为电路布局,每一汇聚节点至根结点的延迟时间可能不同。为了电路的平衡,每一汇聚节点至根结点的延迟时间彼此的差异需要控制在一可容忍的范围内。换句话说,每一汇聚节点至根结点的延迟时间彼此的差异不可以大于一既定值,其中该既定值则为节点时间差限制。另外,电路开发者也可藉由时钟延迟验证装置100或者其他装置根据实体电路中对于时钟的规格要求产生时钟定义文件,其中时钟的规格要求可包括每一时钟的延迟时间限制等等。换句话说,时钟的规格要求为电路设计者对于时钟条件的要求,而并非时钟的基本特性描述。
图2为根据本实施例所建构的一种时钟延迟验证方法的流程图。图2所示的时钟延迟验证方法可适用于图1所示的时钟延迟验证装置100。流程开始于步骤S202。
在步骤S202中,处理单元102使用相应于一实体电路的一约束文件以及一时钟定义文件产生符合时钟树综合验证模拟规格的一时钟树综合规格文件。值得注意的是,在本实施例中,时钟树综合规格文件包括了约束文件以及时钟定义文件中的限制。
接着,在步骤S204中,处理单元102使用相应于实体电路的电路设计文件、相应于实体电路之一节点时间差限制以及时钟树综合规格文件,进行一时钟树综合验证模拟。
接着,在步骤S206中,时钟树综合验证模拟产生一综合后延迟时间值。值得注意的是,时钟树综合验证模拟所产生的综合后延迟时间值包括每一时钟的综合后延迟时间值。
接着,在步骤S208中,判断在步骤S206中所产生的综合后延迟时间值是否合理。当综合后延迟时间值合理时,流程进行至步骤S210;否则,流程进行至步骤S214。值得注意的是,在本实施例中,步骤S208是根据电路开发者的经验值判断时钟树综合验证模拟所产生的综合后延迟时间值是否合理。
在步骤S210中,时钟树综合验证模拟产生一优化结果(Postcts Optimize)。
接着,在步骤S212中,判断在步骤S210中所产生的优化结果是否符合电路开发者要求。当优化结果符合电路开发者要求时,流程结束于步骤S212;否则,流程进行至步骤S214。
在步骤S214中,电路开发者根据综合后延迟时间值和/或优化结果对实体电路进行除错(Debug)。流程结束于步骤S214。
如上所述,上述实施例完全藉由电路开发者的经验值判断时钟树综合验证模拟的结果以及所产生的综合后延迟时间值是否合理。而电路开发者的经验值并不全然准确,因此可能造成电路开发上无谓的消耗。再者,在上述实施例中,时钟树综合验证模拟需要考虑所有电路设计文件、约束文件、节点时间差限制以及时钟定义文件的限制。因此,通常获得的结果并不会是最理想的。举例而言,在考虑到节点时间差限制的情况下,往往会造成延迟时间较短的时钟为了降低时间差而变得较长。因此,以下图3提供了另一种时钟延迟验证方法以克服上述的问题。
图3为根据本实施例所建构的一种时钟延迟验证方法的流程图。图3所示的时钟延迟验证方法可适用于图1所示的时钟延迟验证装置100。流程开始于步骤S302。
在步骤S302中,处理单元102使用相应于一实体电路的一约束文件,产生符合时钟树综合验证模拟(Clock Tree Synthesis,CTS)规格的一第一时钟树综合规格文件。值得注意的是,在本实施例中,第一时钟树综合规格文件仅包括了约束文件的限制,约束文件并未包括时钟定义文件中的限制。换句话说,约束文件不包括实体电路中时钟的多个规格要求,其中时钟的规格要求包括多个延迟要求,时钟的规格要求是包括于时钟定义文件中。
接着,在步骤S304中,处理单元102使用相应于实体电路的电路设计文件以及第一时钟树综合规格文件,进行一第一时钟树综合验证模拟。值得注意的是,在本实施例中,第一时钟树综合验证模拟不考虑实体电路的节点时间差限制。
接着,在步骤S306中,第一时钟树综合验证模拟产生一第一综合后延迟时间值。值得注意的是,第一时钟树综合验证模拟所产生的第一综合后延迟时间值包括每一时钟的综合后延迟时间值。
接着,在步骤S308中,处理单元102将第一综合后延迟时间值与至少一比较值进行比较,以判断电路设计文件是否符合规则。当电路设计文件符合规则时,流程结束于步骤S308;否则,流程进行至步骤S310。详细而言,在步骤S308中,处理单元102将第一综合后延迟时间值与比较值进行比较,当一综合后延迟时间值与比较值之间的差异皆小于一既定值时,处理单元102判断电路设计文件符合规则。当一综合后延迟时间值与比较值之间的差异有至少一个大于该既定值时,处理单元102判断电路设计文件不符合规则。在一实施例中,处理器单元102用以将第一综合后延迟时间值与一第二综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第二综合后延迟时间值的差异是否小于既定值。在另一实施例中,处理器单元102用以将第一综合后延迟时间值与一第三综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值。又另一实施例中,处理器单元102用以将第一综合后延迟时间值与一第四综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值。又另一实施例中,处理器单元102用以将第一综合后延迟时间值、第二综合后延迟时间值以及第三综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第二综合后延迟时间值的差异是否小于既定值、第一综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值以及第二综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值。又另一实施例中,处理器单元102用以将第一综合后延迟时间值、第二综合后延迟时间值以及第四综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第二综合后延迟时间值的差异是否小于既定值、第一综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值以及第二综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值。又另一实施例中,处理器单元102用以将第一综合后延迟时间值、第三综合后延迟时间值以及第四综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值、第一综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值以及第三综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值。又另一实施例中,处理器单元102用以将第一综合后延迟时间值、第二综合后延迟时间值、第三综合后延迟时间值以及第四综合后延迟时间值进行比较,以判断第一综合后延迟时间值与第二综合后延迟时间值的差异是否小于既定值、第一综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值、第一综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值、第二综合后延迟时间值与第三综合后延迟时间值的差异是否小于既定值、第二综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值、第三综合后延迟时间值与第四综合后延迟时间值的差异是否小于既定值。综上所述,至少一比较值可包括第二、第三和/或第四综合后延迟时间值,处理单元102将第一综合后延迟时间值与第二、第三和/或第四综合后延迟时间值的组合进行比较。然而,第二、第三和/或第四综合后延迟时间值的组合可由电路开发者所决定,不脱离本发明精神的各种组合以及比较方式皆为本发明的范围。另外,第二综合后延迟时间、第三综合后延迟时间及第四综合后延迟时间值的产生方式请参考图4~图6的说明。
在步骤S310中,电路开发者根据综合后延迟时间值和/或优化结果对实体电路进行除错(Debug)。流程结束于步骤S310。
图4为根据本实施例所建构的一种时钟延迟验证方法中比较值产生方法的流程图。图4所示的比较值产生方法可适用于图1所示的时钟延迟验证装置100。流程开始于步骤S402。
在步骤S402中,处理单元102使用相应于实体电路的约束文件以及时钟定义文件,产生符合时钟树综合验证模拟规格的一第二时钟树综合规格文件。值得注意的是,在本实施例中,第二时钟树综合规格文件包括了约束文件的限制以及时钟定义文件中的限制。也就是第二时钟树综合规格文件包括了实体电路中时钟的多个规格要求。
接着,在步骤S404中,处理单元102使用相应于实体电路的电路设计文件以及第二时钟树综合规格文件,进行一第二时钟树综合验证模拟。值得注意的是,在本实施例中,第二时钟树综合验证模拟不考虑实体电路的节点时间差限制。
接着,在步骤S406中,第二时钟树综合验证模拟产生一第二综合后延迟时间值,以提供给图3的步骤S308。值得注意的是,第二时钟树综合验证模拟所产生的第二综合后延迟时间值包括每一时钟的综合后延迟时间值。流程结束于步骤S406。
图5为根据本实施例所建构的一种时钟延迟验证方法中比较值产生方法的流程图。图5所示的比较值产生方法可适用于图1所示的时钟延迟验证装置100。流程开始于步骤S502。
在步骤S502中,处理单元102使用相应于实体电路的约束文件以及时钟定义文件,产生符合时钟树综合验证模拟规格的一第二时钟树综合规格文件。值得注意的是,在本实施例中,第二时钟树综合规格文件包括了约束文件的限制以及时钟定义文件中的限制。另外,当步骤S502与步骤S402在同一个流程中时,可忽略其中一者。
接着,在步骤S504中,处理单元102使用相应于实体电路的电路设计文件、第二时钟树综合规格文件以及节点时间差限制,进行一第三时钟树综合验证模拟。值得注意的是,在本实施例中,第三时钟树综合验证模拟考虑实体电路的节点时间差限制。
接着,在步骤S506中,第三时钟树综合验证模拟产生一第三综合后延迟时间值,以提供给图3的步骤S308。值得注意的是,第三时钟树综合验证模拟所产生的第三综合后延迟时间值包括每一时钟的综合后延迟时间值。流程结束于步骤S506。
图6为根据本实施例所建构的一种时钟延迟验证方法中比较值产生方法的流程图。图6所示的比较值产生方法可适用于图1所示的时钟延迟验证装置100。流程开始于步骤S602。
在步骤S602中,处理单元102使用相应于实体电路的约束文件,产生符合时钟树综合验证模拟规格的一第一时钟树综合规格文件。值得注意的是,在本实施例中,第一时钟树综合规格文件包括了约束文件的限制,但不包括时钟定义文件中的限制。另外,当步骤S602与步骤S302在同一个流程中时,可忽略其中一个。
接着,在步骤S604中,处理单元102使用相应于实体电路的电路设计文件、第一时钟树综合规格文件以及节点时间差限制,进行一第四时钟树综合验证模拟。值得注意的是,在本实施例中,第四时钟树综合验证模拟考虑实体电路的节点时间差限制。
接着,在步骤S606中,第四时钟树综合验证模拟产生一第四综合后延迟时间值,以提供给图3的步骤S308。值得注意的是,第四时钟树综合验证模拟所产生的第四综合后延迟时间值包括每一时钟的综合后延迟时间值。流程结束于步骤S606。
综上所述,图3所示的时钟延迟验证方法可在不考虑时钟定义文件以及节点时间差限制的状况下产生一第一综合后延迟时间值,也就是单纯对于时钟本身而言最理想的延迟时间值。接着,图3所示的时钟延迟验证方法将最理想的延迟时间值与藉由基于不同考虑所产生的即图4,5,6中的综合后延迟时间值交互比较,以判断电路是否合格。值得注意的是,第三综合后延迟时间值则为图2中所述考虑了所有因素所产生的综合后延迟时间值。
由于第一综合后延迟时间值是在不考虑时钟定义文件以及节点时间差限制的状况下产生的理想值,而第二综合后延迟时间值,第三综合后延迟时间值以及第四综合后延迟时间值实在考虑了不同条件的情况下产生的延迟时间值,当分别与第一综合后延迟时间值进行比较时可以得知造成延迟差距较大的原因是由那个条件造成的,从而利于设计人员进行分析和修改。例如当第四综合后延迟时间值与第一综合后延迟时间值比较的差值较大时,可以得知上述差值是由节点时间差限制造成。当第二综合后延迟时间与第一综合后延迟时间值比较的差值较大时,可以得知上述差值是由第二时钟树综合规格文件造成。当第三综合后延迟时间与第一综合后延迟时间值比较的差值较大时,而第四综合后延迟时间,第二综合后延迟时间与第一综合后延迟时间的差值为正常范围内的时候,可以排除造成差值的原因是节点时间差和第二时钟树综合规格文件。由于电路设计中同时存在其他因素可能造成和理想设计的差值较大,对这些其他因素的分析超出了本发明的范畴,本发明认为在这种情况下,排除了由节点时间差和第二时钟树综合规格文件的因素。通过对多个综合后延迟时间的比较分析,可以帮助电路设计人员更快的找到造成差值的原因从而大大减少电路调试的时间。
在本发明的实施例中,判断差值的大小是根据前端电路设计的要求确定。具体的判断方式不在本发明的讨论范畴之内。
本发明的方法,或特定形态或其部分,可以以程序代码的形态存在。程序代码可存储于实体介质,如软盘、光盘、硬盘、或是任何其他机器可读取(如计算机可读取)存储介质,亦或不限于外在形式的计算机程序产品,其中,当程序代码被机器,如计算机载入且执行时,此机器变成用以参与本发明的装置。程序代码也可通过一些传送介质,如电线或电缆、光纤、或是任何传输形态进行传送,其中,当程序代码被机器,如计算机接收、载入且执行时,此机器变成用以参与本发明的装置。当在一般用途处理单元实作时,程序代码结合处理单元提供一操作类似于应用特定逻辑电路的独特装置。
然而以上所述仅为本发明的各项实施例而已,当不能以此限定本发明实施的范围,即大凡依本发明权利要求书及发明说明内容所作的简单的等效变化与修饰,皆仍属本发明专利涵盖的范围内。另外本发明的任一实施例或权利要求不须达成本发明所公开的全部目的或优点或特点。此外,摘要部分和标题仅是用来辅助专利文件搜寻之用,并非用来限制本发明的权利要求书要求保护的权利范围。

Claims (9)

1.一种时钟延迟验证方法,包括:
使用约束文件,产生第一时钟树综合规格文件,其中该约束文件包括实体电路中的多个时钟的描述;
使用电路设计文件以及该第一时钟树综合规格文件,进行第一时钟树综合验证模拟,以产生第一综合后延迟时间值,其中该电路设计文件包括该实体电路的连接关系以及组件的描述;
将该第一综合后延迟时间值与至少一比较值进行比较,以判断该电路设计文件是否符合规则;
所述方法还包括:
使用时钟定义文件以及该约束文件,产生第二时钟树综合规格文件;以及
使用该电路设计文件、该第二时钟树综合规格文件以及节点时间差限制,进行第三时钟树综合验证模拟,产生第三综合后延迟时间值,其中该比较值包括该第三综合后延迟时间值。
2.如权利要求1所述的时钟延迟验证方法,其中该第一综合后延迟时间值包括相应于实体电路中每一所述时钟的综合后延迟时间值。
3.如权利要求1所述的时钟延迟验证方法,其中该约束文件不包括所述时钟的多个规格要求。
4.如权利要求1所述的时钟延迟验证方法,其中该时钟定义文件包括所述时钟的多个规格要求,所述方法还包括:
使用该电路设计文件以及该第二时钟树综合规格文件,进行第二时钟树综合验证模拟,以产生第二综合后延迟时间值。
5.如权利要求4所述的时钟延迟验证方法,其中该比较值还包括该第二综合后延迟时间值。
6.如权利要求1所述的时钟延迟验证方法,其中该节点时间差限制为该实体电路中根结点分别至多个汇聚节点之间的时间差的限制。
7.如权利要求6所述的时钟延迟验证方法,还包括:
使用该电路设计文件、该第一时钟树综合规格文件以及该节点时间差限制,进行第四时钟树综合验证模拟,以产生第四综合后延迟时间值。
8.如权利要求7所述的时钟延迟验证方法,其中该比较值还包括该第四综合后延迟时间值。
9.如权利要求7所述的时钟延迟验证方法,还包括:
使用该电路设计文件以及该第二时钟树综合规格文件,进行第二时钟树综合验证模拟,以产生第二综合后延迟时间值,
其中所述比较值还包括该第二综合后延迟时间值以及该第四综合后延迟时间值。
CN201510875733.4A 2015-12-03 2015-12-03 时钟延迟验证方法 Active CN105512381B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510875733.4A CN105512381B (zh) 2015-12-03 2015-12-03 时钟延迟验证方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510875733.4A CN105512381B (zh) 2015-12-03 2015-12-03 时钟延迟验证方法

Publications (2)

Publication Number Publication Date
CN105512381A CN105512381A (zh) 2016-04-20
CN105512381B true CN105512381B (zh) 2019-08-09

Family

ID=55720361

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510875733.4A Active CN105512381B (zh) 2015-12-03 2015-12-03 时钟延迟验证方法

Country Status (1)

Country Link
CN (1) CN105512381B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112257379B (zh) * 2020-10-30 2023-04-25 上海兆芯集成电路有限公司 电路时钟延迟的校正方法
CN113835469B (zh) * 2021-09-29 2024-02-20 深圳大普微电子科技有限公司 一种芯片时钟树的验证方法、装置及芯片

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102169515A (zh) * 2010-02-26 2011-08-31 国际商业机器公司 一种专用集成电路中时钟树延迟时间的估计方法和系统
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102169515A (zh) * 2010-02-26 2011-08-31 国际商业机器公司 一种专用集成电路中时钟树延迟时间的估计方法和系统
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
双频双系统导航芯片的时钟树分析和设计;童琼等;《微电子学》;20110430;第41卷(第2期);247-249 *

Also Published As

Publication number Publication date
CN105512381A (zh) 2016-04-20

Similar Documents

Publication Publication Date Title
US8839171B1 (en) Method of global design closure at top level and driving of downstream implementation flow
CN101539958B (zh) 一种标准单元库和集成电路的设计方法和装置
US10354042B2 (en) Selectively reducing graph based analysis pessimism
US9020797B2 (en) Integrated circuit simulation using analog power domain in analog block mixed signal
US20070276645A1 (en) Power modelling in circuit designs
US8726211B2 (en) Generating an equivalent waveform model in static timing analysis
CN103207941A (zh) 集成电路供电网络全参数模型下瞬态分析方法及系统
US10275553B2 (en) Custom circuit power analysis
US8701059B2 (en) Method and system for repartitioning a hierarchical circuit design
US9165105B2 (en) Rule checking for confining waveform induced constraint variation in static timing analysis
JP2017516223A (ja) 電子的設計の検証コマンドの翻訳のコンピュータ実装されるシステムおよび方法
US20180129771A1 (en) Design support method, and information processing apparatus
KR20210129071A (ko) 상관된 샘플 생성 및 효율적인 통계적 시뮬레이션을 사용하여 타이밍 수율 및 수율 병목을 컴퓨팅하기 위한 신규한 방법
CN111581899A (zh) 良率参数文件和门级网表的生成方法以及芯片的开发流程
US20180336304A1 (en) Method for compression of emulation time line in presence of dynamic re-programming of clocks
CN105512381B (zh) 时钟延迟验证方法
KR20200145672A (ko) 분석적인 프레임워크에 기초한 클록 게이트 대기시간 모델링
US8893068B1 (en) Techniques to generate a more accurate simulation model
US10963610B1 (en) Analyzing clock jitter using delay calculation engine
US11022634B1 (en) Rail block context generation for block-level rail voltage drop analysis
Kang et al. Seamless SoC verification using virtual platforms: An industrial case study
US11275877B2 (en) Hardware simulation systems and methods for reducing signal dumping time and size by fast dynamical partial aliasing of signals having similar waveform
US8739093B1 (en) Timing characteristic generation and analysis in integrated circuit design
Venkataramani et al. Model-based hardware design
Ciesielski et al. Arithmetic bit-level verification using network flow model

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address

Address after: Room 301, 2537 Jinke Road, Zhangjiang High Tech Park, Pudong New Area, Shanghai 201203

Patentee after: Shanghai Zhaoxin Semiconductor Co.,Ltd.

Address before: Room 301, 2537 Jinke Road, Zhangjiang hi tech park, Shanghai 201203

Patentee before: VIA ALLIANCE SEMICONDUCTOR Co.,Ltd.

CP03 Change of name, title or address