CN105212922A - 面向fpga实现心电信号r波自动检测的方法及系统 - Google Patents

面向fpga实现心电信号r波自动检测的方法及系统 Download PDF

Info

Publication number
CN105212922A
CN105212922A CN201410260276.3A CN201410260276A CN105212922A CN 105212922 A CN105212922 A CN 105212922A CN 201410260276 A CN201410260276 A CN 201410260276A CN 105212922 A CN105212922 A CN 105212922A
Authority
CN
China
Prior art keywords
ripple
wavelet
wavelet decomposition
layer
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410260276.3A
Other languages
English (en)
Inventor
司玉娟
张倩
刘立勋
李培鹏
孙永坚
何汉体
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jilin University
Original Assignee
Jilin University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jilin University filed Critical Jilin University
Priority to CN201410260276.3A priority Critical patent/CN105212922A/zh
Publication of CN105212922A publication Critical patent/CN105212922A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)

Abstract

本发明公开了一种面向FPGA实现心电信号R波自动检测的方法及系统,面向FPGA实现基于小波变换特征波检测原理的QRS波检测,利用小波变换的特征波检测原理,在指定的小波分解层次中采用自适应阈值的方法检测模极值对,定位模极值对的过零点即为R波,进而检测Q波和S波,提高了QRS波检测精度,加快了检测算法的识别速度。所述系统在硬件实现上包括小波分解模块和检测模块,小波分解模块完成包括补码、乘法、累加等运算,且整个模块采用基本运算单元级联的方式完成4级流水线操作,使得4层小波分解操作能同时运行。检测模块在小波分解的3、4尺度下寻找模极大值对,并定位其过零点为R波,完成心电信号特征波的检测。

Description

面向FPGA实现心电信号R波自动检测的方法及系统
技术领域
本发明涉及信息处理以及医学信号处理领域,特别是一种面向FPGA实现心电信号R波自动检测的方法及系统。
背景技术
典型的正常心电图主要由一系列的P波、QRS复合波、T波及U波波组组成,其中每个特征波参数或波形间期都代表不同的电生理学意义。
心电信号特征波检测的主要内容是对QRS波群的定位,并提取相关的波形时域特征参数,QRS波群的确定为检测其他特征波和计算心率提供了可能,可区别正常与异常心律,进而检测和分析心电信号的其它细节信息。QRS波群检测主要分两步进行,首先是检测R波波峰,其次在R波波峰检测的基础上定位QRS波群的起止点,其中首要问题是定位R波,R波的确定是心电信号其他细节信息分析的前提。但是心电信号波形的多样性、复杂性、变异性以及可能含有的各种干扰噪声,都增大了QRS波群精确检测的难度。目前,心电信号特征波检测方法主要有数学形态学法、模板匹配法、BP神经网络及其学习算法和小波变换法等几个方面。但这些方法普遍存在高精度算法复杂、实时性差、难以在现有硬件平台上实现以及现有硬件平台上的算法检测精度不高等问题。同时,现有技术大多采用高级语言编程实现,运算量大,难以满足实时性要求。
发明内容
为解决上述问题,本发明的目的在于提供一种面向FPGA实现心电信号R波自动检测的方法及系统,提高QRS波检测精度,加快检测算法的识别速度。
本发明解决其问题所采用的技术方案是:
一种面向FPGA实现心电信号R波自动检测的方法,包括面向FPGA实现的以下步骤:
(1)采用双正交二次样条小波对心电信号进行4层小波分解;
(2)采用自适应阈值法分别获取第3、4层上的自适应阈值;
(3)根据第3、4层上的自适应阈值分别获取小波分解系数在对应层上的正负模极值对;
(4)去除正负模极值对中的伪模极大值点;
(5)根据去除伪模极大值点后的正负模极值对定位R波。
进一步,所述步骤(5)之后还包括:
(6)在小波分解的第2层上,以定位出的R波为核心,向前后的一段时间窗中各寻找一个模极大值点,定位出Q波和S波。
进一步,若在前后的一段时间窗中找不到模极大值点,表示Q或S波不存在,则把R波对应的模极值对的起止点作为QRS波的起止点。
进一步,所述步骤(1)中心电信号为去除噪声后的心电信号。
进一步,采用加权阈值法去除原心电信号中的噪声信号。
进一步,所述步骤(2)中,通过以下方法分别获取第3、4层上的自适应阈值:
设尺度2 j 的自适应阈值为Th j (j=1,2,3,4),其中=1,2,3,4,为对应的层值,层的模极大值,下一个QRS波的模极大值的阈值估计参数通过下式获取:
,以此计算出第3、4层上的自适应阈值。
进一步,所述步骤(4)中,根据补充检测策略,删除多检点补偿漏检点,以去除正负模极值中的伪模极大值点。
进一步,所述步骤(5)定位R波时,所述R波的位置为第3层和第4层上的小波系数均寻找到正负模极值对时的过零点的位置。
一种面向FPGA实现心电信号R波自动检测的系统,包括面向FPGA实现的小波分解模块和检测模块,其中:
所述小波分解模块用于对心电信号进行4层小波分解,最终分别输出第3、4层上的小波系数;
所述检测模块用于以第3、4层上的小波系数作为输入信号,采集第3、4层上的正负模极值对,同时定位其过零点为R波,完成心电信号特征波的检测。
进一步,所述小波分解模块包括地址发生器单元,所述地址发生器单元分别连接心电数据RAM单元、滤波器系数RAM单元、控制单元和乘法器单元,所述心电数据RAM单元和滤波器系数RAM单元分别连接乘法器单元,所述乘法器单元依次连接累加器单元、寄存器单元和二抽取单元,所述控制单元分别与累加器单元、寄存器单元和二抽取单元连接;
以上每一级并行结构中的基本运算单元级联进行小波分解运算,每一层小波分解的低频小波系数作为下一层小波变换的输入,最终分别输出第3、4层上的小波系数;
所述检测模块包括可分别接收第3、4层上的小波系数的比较器一、小波分解数据存储器和寄存器,所述比较器一与小波分解数据存储器互连,所述小波分解数据存储器还连接比较器二,所述寄存器通过阈值寄存器连接比较器二;
初始化寄存器后,当接收到第3、4层上的小波系数后,首先将该数据存入小波分解数据存储器RAM中,并与寄存器中的值进行比较,如果大于极大值或小于极小值,则更新寄存器,当启动检测信号时,停止寄存器中的数据更新,同时生成地址信号,把寄存器中存储数据数值的1/3存入到阈值寄存器中作为阈值,同时比较该阈值与小波分解数据存储器中的输出数据,如果输出数据大于该阈值,则判断为正模极大值,否则判断为负模极大值,此时则认为在该层检测到了极值点。
本发明的有益效果是:
本发明采用一种面向FPGA实现心电信号R波自动检测的方法及系统,面向FPGA实现基于小波变换特征波检测原理的QRS波检测,利用小波变换的特征波检测原理,在指定的小波分解层次中采用自适应阈值的方法检测模极对,定位模极值对的过零点即为R波,进而检测Q波和S波,提高了QRS波检测精度,加快了检测算法的识别速度,且本发明在现有硬件平台上容易实现,为硬件实现打下了理论基础。FPGA的并行处理能力,使其具有高性能、实时性的特点,在信号处理领域中得到广泛推广,本发明正基于此,心电信号检测算法在硬件上由小波分解模块和检测模块两部分来实现,其中整个检测系统中最为核心的部分为小波分解模块,该模块完成了系统的包括补码、乘法、累加等大部分运算工作量,且整个模块采用基本运算单元级联的方式完成4级流水线操作,使得4层小波分解操作能同时运行。小波分解模块的输出作为检测模块的输入,检测模块在小波分解的3、4尺度下寻找正负极值对,并定位其过零点为R波,最终完成心电信号特征波的检测,从而真实地提升了检测的精度,提升检测算法的识别速度。
附图说明
下面结合附图和实例对本发明作进一步说明。
图1是本发明所述系统中小波分解模块的结构示意图;
图2是本发明所述系统中检测模块的结构示意图。
具体实施方式
本发明提供了一种面向FPGA实现心电信号R波自动检测的方法,包括面向FPGA实现的以下步骤:
(1)采用双正交二次样条小波对心电信号进行4层小波分解;
(2)采用自适应阈值法分别获取第3、4层上的自适应阈值;
(3)根据第3、4层上的自适应阈值分别获取小波分解系数在对应层上的正负模极值对;
(4)去除正负模极值对中的伪模极大值点;
(5)根据去除伪模极大值点后的正负模极值对定位R波;
(6)在小波分解的第2层上,以定位出的R波为核心,向前后的一段时间窗中各寻找一个模极大值点,定位出Q波和S波。
FPGA,是FieldProgrammableGateArray的简写,即现场可编程门阵列,是可编程器件PAL、GAL、EPLD等进一步发展的产物。在专用集成电路(ASIC)领域中定制电路具有不可编程的缺点,而FPGA作为一种半定制电路就克服了这一缺点,而且由上百万个逻辑单元组成的FPGA弥补了原有可编程器件中门电路数量有限的不足。本发明在FPGA上实现基于Mallat快速小波算法的R波检测,选取性能比较强大的FPGA芯片为本发明的实现提供足够的资源。为便于实现,可以选取CycloneII系列的EP2C35F672C8芯片,CycloneII系列是Alters最成功的器件之一,它采用90nm工艺,1.2V内核供电,有着优良的性格比。
所述步骤(6)中,若在前后的一段时间窗中找不到模极大值点,表示Q或S波不存在,则把R波对应的模极值对的起止点作为QRS波的起止点。
为了取得更好的检测效果,本发明是对去噪后的心电信号进行R波检测,其去除方法采用加权阈值法,申请号为201110149217.5,名称为《一种可集成的心电信号去噪和QRS波识别的快速算法》对本方法有详尽的介绍,本发明所采用的方法与其相同,详细内容在此不再赘述。
去噪之后,则采用双正交二次样条小波对心电信号进行4层小波分解,得到心电信号在j=1,2,3,4尺度下的小波系数图形。
之后,则需要根据自适应阈值法分别获取第3、4层上的自适应阈值,本发明采用以下方法获取对应的阈值:
设尺度2 j 的自适应阈值为Th j (j=1,2,3,4),其中=1,2,3,4,为对应的层值,层的模极大值,下一个QRS波的模极大值的阈值估计参数通过下式获取:
,以此即可计算出第3、4层上的自适应阈值。本发明在第三层和第四层上分别进行检测,可以提高检测效率。
之后,根据第3、4层上的自适应阈值分别获取心电信号在对应层上的正负模极值。小波变换后在各尺度上特别是=3和=4尺度下的一个正负模极值对对应着待检测的心电信号奇异点,即R波,且R波的位置为正负模极值对对应的过零点。
之后,根据补充检测策略,删除多检点补偿漏检点,以去除正负模极值对中的伪模极大值点。针对多检情况,根据心脏的"不应期",可以在检测到一个R波后,直接跳到200ms进行下一个R波检测。针对漏检情况,根据心脏正常心率,在R-R间隔大于1.5s还未检测到正-负极值对时,可能出现漏检,此时取待检R波的前十个R峰间隔的平均值T,若本段所检测到的R-R间隔>1.6T,则把幅度阈值降为原有50%,在这两个R波峰之前进行复检;若没有发现R波,则对该段波形进行取反,检测是否出现R波倒置的心率失常现象,若依旧没有检测到,则认为出现漏检;若R-R间隔<0.4T,则去除幅值较小的伪R波,幅值较大的保留作为检测到的R波,恢复原阈值,进行下一个R波的检测。本发明在检测出的各尺度模极值对中,去除由噪声干扰引起的孤立的模极大值点,并根据QRS复合波的宽度,去除模极值对之间距离大于120ms的孤立的模极大值点,可以避免由于噪声干扰而引起的R波误判。
之后,根据去除伪模极大值点后的正负模极值对定位R波,定位R波时,所述R波的位置为第3层和第4层上的小波系数均寻找到正负模极值对时的过零点的位置。本发明分别在主要集中R波能量的=3和=4两个尺度上寻找R波,根据小波变换的奇异点检测原理可知,R波位置就是在=3和=4两个尺度上的小波系数均寻找到正负模极值对时的过零点的位置。
QRS波起止点分别对应R波生成的模极值对之前之后的相邻模极值对。本发明选取在噪声干扰相对较小的=2尺度上进行QRS波起止点的检测。在=2尺度上,在R波产生的模极值对的前后一段时间内各定位一个模极大值点,该模极大值点所在波的起止点(过零点或趋零点)分别代表QRS波的起止点。若Q或S波不存在,那么在该时间段内就找不到模极大值点,则可把R波对应的模极值对的起止点当作QRS波的起止点。
以上所述步骤均面向FPGA实现,提高了QRS波检测精度,加快了检测算法的识别速度,且本发明在现有硬件平台上容易实现,并为硬件实现打下了理论基础。
本发明还提供了一种面向FPGA实现心电信号R波自动检测的系统,包括面向FPGA实现运算的小波分解模块和检测模块,其中:
所述小波分解模块用于对心电信号进行四层小波分解,最终分别输出第3、4层上的小波系数;
所述检测模块用于以第3、4层上的小波系数作为输入信号,采集第3、4层上的正负模极值对,同时定位其过零点为R波,完成心电信号特征波的检测。
由于每一级小波变换的运算过程都是类似的,对当前数据进行处理时,必须输出上一级已计算出的结果作为当前级的输入,每一级的数据都经过输入、处理和输出3个阶段,符合流水线的工作原理,故本发明采用流水线方式来设计小波变换模块。由于本发明对心电信号进行四级小波变换,因此,流水线结构也相应设计为四级,由于每级小波变换的高通与低通滤波器除了系数之外的其他结构相同,所以可以把每层小波变换作为流水线结构中两个相同并行结构的基本运算单元,其结构框图参照图1所示,其中,所述小波分解模块包括地址发生器单元,所述地址发生器单元分别连接心电数据RAM单元、滤波器系数RAM单元、控制单元和乘法器单元,所述心电数据RAM单元和滤波器系数RAM单元分别连接乘法器单元,所述乘法器单元依次连接累加器单元、寄存器单元和二抽取单元,所述控制单元分别与累加器单元、寄存器单元和二抽取单元连接。
根据流水线结构的组成原理,以上每一级并行结构中的基本运算单元级联即可进行小波分解运算,每一层小波分解的低频小波系数作为下一层小波变换的输入,最终分别输出第3、4层上的小波系数。
参照图2所示,所述检测模块包括可分别接收第3、4层上的小波系数的比较器一、小波分解数据存储器和寄存器,所述比较器一与小波分解数据存储器互连,所述小波分解数据存储器还连接比较器二,所述寄存器通过阈值寄存器连接比较器二;
初始化寄存器后,当接收到第3、4层上的小波系数后,首先将该数据存入小波分解数据存储器RAM中,并与寄存器中的值进行比较,如果大于极大值或小于极小值,则更新寄存器,当启动检测信号(en=1)时,停止寄存器中的数据更新,同时生成地址信号,把寄存器中存储数据数值的1/3存入到阈值寄存器中作为阈值,同时比较该阈值与小波分解数据存储器中的输出数据,如果输出数据大于该阈值,则判断为正模极大值,否则判断为负模极大值,此时则认为在该层检测到了极值点。本次极值检测完毕后,控制单元对寄存器和存储器进行清零,为下次检测做准备。
FPGA的并行处理能力,使其具有高性能、实时性的特点,在信号处理领域中得到广泛推广,本发明正基于此,心电信号检测算法在硬件实现上由小波分解模块和检测模块两部分来实现,其中整个检测系统中最为核心的部分为小波分解模块,该模块完成了系统的包括补码、乘法、累加等大部分运算工作量,且整个模块采用基本运算单元的级联方式完成4级流水线操作,使得4层小波分解操作能同时运行。小波分解模块的输出作为检测模块的输入,检测模块在小波分解的3、4尺度下寻找模极大值对,并定位其过零点为R波,最终完成心电信号特征波的检测,从而真实地提升了检测的精度,提升了检测算法的识别速度。
以上所述,只是本发明的较佳实施例而已,本发明并不局限于上述实施方式,只要其以相同的手段达到本发明的技术效果,都应属于本发明的保护范围。

Claims (10)

1.面向FPGA实现心电信号R波自动检测的方法,其特征在于,包括面向FPGA实现的以下步骤:
(1)采用双正交二次样条小波对心电信号进行4层小波分解;
(2)采用自适应阈值法分别获取第3、4层上的自适应阈值;
(3)根据第3、4层上的自适应阈值分别获取小波分解系数在对应层上的正负模极值对;
(4)去除正负模极值对中的伪模极大值点;
(5)根据去除伪模极大值点后的正负模极值对定位R波。
2.根据权利要求1所述的方法,其特征在于,所述步骤(5)之后还包括:
(6)在小波分解的第2层上,以定位出的R波为核心,向前后的一段时间窗中各寻找一个模极大值点,定位出Q波和S波。
3.根据权利要求2所述的方法,其特征在于,若在前后的一段时间窗中找不到模极大值点,表示Q或S波不存在,则把R波对应的模极值对的起止点作为QRS波的起止点。
4.根据权利要求1所述的方法,其特征在于,所述步骤(1)中心电信号为去噪后的心电信号。
5.根据权利要求4所述的方法,其特征在于,采用加权阈值法去除原心电信号中的噪声信号。
6.根据权利要求1所述的方法,其特征在于,所述步骤(2)中,通过以下方法分别获取第3、4层上的自适应阈值:
设尺度2 j 的自适应阈值为Th j (j=1,2,3,4),其中=1,2,3,4,为对应的层值,层的模极大值,下一个QRS波的模极大值的阈值估计参数通过下式获取:
,以此计算出第3、4层上的自适应阈值。
7.根据权利要求1所述的方法,其特征在于,所述步骤(4)中,根据补充检测策略,删除多检点补偿漏检点,以去除正负模极值中的伪模极大值点。
8.根据权利要求1所述的方法,其特征在于,所述步骤(5)定位R波时,所述R波的位置为第3层和第4层上的小波系数均寻找到正负模极值对时的过零点的位置。
9.面向FPGA实现心电信号R波自动检测的系统,其特征在于,包括面向FPGA实现的小波分解模块和检测模块,其中:
所述小波分解模块用于对心电信号进行4层小波分解,最终分别输出第3、4层上的小波系数;
所述检测模块用于以第3、4层上的小波系数作为输入信号,采集第3、4层上的正负模极值对,同时定位其过零点为R波,完成心电信号特征波的检测。
10.根据权利要求9所述的系统,其特征在于:
所述小波分解模块包括地址发生器单元,所述地址发生器单元分别连接心电数据RAM单元、滤波器系数RAM单元、控制单元和乘法器单元,所述心电数据RAM单元和滤波器系数RAM单元分别连接乘法器单元,所述乘法器单元依次连接累加器单元、寄存器单元和二抽取单元,所述控制单元分别与累加器单元、寄存器单元和二抽取单元连接;
以上每一级并行结构中的基本运算单元级联进行小波分解运算,每一层小波分解的低频小波系数作为下一层小波变换的输入,最终分别输出第3、4层上的小波系数;
所述检测模块包括可分别接收第3、4层上的小波系数的比较器一、小波分解数据存储器和寄存器,所述比较器一与小波分解数据存储器互连,所述小波分解数据存储器还连接比较器二,所述寄存器通过阈值寄存器连接比较器二;
初始化寄存器后,当接收到第3、4层上的小波系数后,首先将该数据存入小波分解数据存储器RAM中,并与寄存器中的值进行比较,如果大于极大值或小于极小值,则更新寄存器,当启动检测信号时,停止寄存器中的数据更新,同时生成地址信号,把寄存器中存储数据数值的1/3存入到阈值寄存器中作为阈值,同时比较该阈值与小波分解数据存储器中的输出数据,如果输出数据大于该阈值,则判断为正模极大值,否则判断为负模极大值,此时则认为在该层检测到了极值点。
CN201410260276.3A 2014-06-11 2014-06-11 面向fpga实现心电信号r波自动检测的方法及系统 Pending CN105212922A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410260276.3A CN105212922A (zh) 2014-06-11 2014-06-11 面向fpga实现心电信号r波自动检测的方法及系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410260276.3A CN105212922A (zh) 2014-06-11 2014-06-11 面向fpga实现心电信号r波自动检测的方法及系统

Publications (1)

Publication Number Publication Date
CN105212922A true CN105212922A (zh) 2016-01-06

Family

ID=54982473

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410260276.3A Pending CN105212922A (zh) 2014-06-11 2014-06-11 面向fpga实现心电信号r波自动检测的方法及系统

Country Status (1)

Country Link
CN (1) CN105212922A (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105748066A (zh) * 2016-03-03 2016-07-13 深圳竹信科技有限公司 一种心电信号波形特征点的提取方法及装置
CN105877739A (zh) * 2016-02-25 2016-08-24 姜坤 一种心电智能分析系统的临床检验方法
CN105997055A (zh) * 2016-07-11 2016-10-12 吉林大学 一种心电信号st段的自动分类方法、系统及装置
CN108272451A (zh) * 2018-02-11 2018-07-13 上海交通大学 一种基于改进小波变换的qrs波识别方法
CN108720832A (zh) * 2018-05-30 2018-11-02 京东方科技集团股份有限公司 一种心电信号处理方法及装置
CN108932452A (zh) * 2017-05-22 2018-12-04 中国科学院半导体研究所 基于多尺度卷积神经网络的心律失常心拍分类方法
CN109199366A (zh) * 2018-10-12 2019-01-15 山东理工大学 基于变分模态分解的心电信号qrs波检测方法
CN109710559A (zh) * 2016-11-03 2019-05-03 北京中科寒武纪科技有限公司 Slam运算装置和方法
CN110420022A (zh) * 2019-07-29 2019-11-08 浙江大学 一种基于双密度小波变换的p波检测方法
CN110960211A (zh) * 2019-12-30 2020-04-07 江南大学 一种基于嵌入式的心电实时监测系统
CN111685759A (zh) * 2020-05-12 2020-09-22 河北大学 一种心电信号的p、t特征波检测方法
CN114515151A (zh) * 2022-02-28 2022-05-20 韩宏光 基于人工智能的心电信号采集系统及处理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5778881A (en) * 1996-12-04 1998-07-14 Medtronic, Inc. Method and apparatus for discriminating P and R waves
CN101828917A (zh) * 2010-05-07 2010-09-15 深圳大学 心电信号特征提取的方法和系统
CN102178522A (zh) * 2011-04-29 2011-09-14 华南理工大学 一种母亲及胎儿心电信号qrs波中r波的检测定位方法
EP2589332A1 (en) * 2011-11-03 2013-05-08 Imec System and method for the analysis of electrocardiogram signals
CN103815897A (zh) * 2014-02-28 2014-05-28 吉林大学 一种心电图特征提取方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5778881A (en) * 1996-12-04 1998-07-14 Medtronic, Inc. Method and apparatus for discriminating P and R waves
CN101828917A (zh) * 2010-05-07 2010-09-15 深圳大学 心电信号特征提取的方法和系统
CN102178522A (zh) * 2011-04-29 2011-09-14 华南理工大学 一种母亲及胎儿心电信号qrs波中r波的检测定位方法
EP2589332A1 (en) * 2011-11-03 2013-05-08 Imec System and method for the analysis of electrocardiogram signals
CN103815897A (zh) * 2014-02-28 2014-05-28 吉林大学 一种心电图特征提取方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
刘媛: "便携式心电信号分析检测仪", 《中国优秀硕士学位论文全文数据库 工程科技II辑》 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105877739A (zh) * 2016-02-25 2016-08-24 姜坤 一种心电智能分析系统的临床检验方法
WO2017148452A1 (zh) * 2016-03-03 2017-09-08 深圳竹信科技有限公司 一种心电信号波形特征点的提取方法及装置
CN105748066B (zh) * 2016-03-03 2018-03-16 深圳竹信科技有限公司 一种心电信号波形特征点的提取方法及装置
CN105748066A (zh) * 2016-03-03 2016-07-13 深圳竹信科技有限公司 一种心电信号波形特征点的提取方法及装置
CN105997055A (zh) * 2016-07-11 2016-10-12 吉林大学 一种心电信号st段的自动分类方法、系统及装置
CN109710559A (zh) * 2016-11-03 2019-05-03 北京中科寒武纪科技有限公司 Slam运算装置和方法
CN108932452A (zh) * 2017-05-22 2018-12-04 中国科学院半导体研究所 基于多尺度卷积神经网络的心律失常心拍分类方法
CN108272451B (zh) * 2018-02-11 2021-01-22 上海交通大学 一种基于改进小波变换的qrs波识别方法
CN108272451A (zh) * 2018-02-11 2018-07-13 上海交通大学 一种基于改进小波变换的qrs波识别方法
CN108720832A (zh) * 2018-05-30 2018-11-02 京东方科技集团股份有限公司 一种心电信号处理方法及装置
US11109794B2 (en) 2018-05-30 2021-09-07 Boe Technology Group Co., Ltd. Method and apparatus for processing ECG signals, and ECG machine
CN109199366A (zh) * 2018-10-12 2019-01-15 山东理工大学 基于变分模态分解的心电信号qrs波检测方法
CN110420022A (zh) * 2019-07-29 2019-11-08 浙江大学 一种基于双密度小波变换的p波检测方法
CN110960211A (zh) * 2019-12-30 2020-04-07 江南大学 一种基于嵌入式的心电实时监测系统
CN111685759A (zh) * 2020-05-12 2020-09-22 河北大学 一种心电信号的p、t特征波检测方法
CN111685759B (zh) * 2020-05-12 2022-09-09 河北大学 一种心电信号的p、t特征波检测方法
CN114515151A (zh) * 2022-02-28 2022-05-20 韩宏光 基于人工智能的心电信号采集系统及处理方法

Similar Documents

Publication Publication Date Title
CN105212922A (zh) 面向fpga实现心电信号r波自动检测的方法及系统
CN109948647B (zh) 一种基于深度残差网络的心电图分类方法及系统
CN102779234B (zh) 一种心电图分类处理方法及装置
CN102835954B (zh) 一种心拍波形模板生成方法及模块
CN107203692A (zh) 基于深度卷积神经网络的房颤检测的实现方法
CN103815897B (zh) 一种心电图特征提取方法
CN107837082A (zh) 基于人工智能自学习的心电图自动分析方法和装置
CN103584854B (zh) 心电信号r波的提取方法
CN104398252A (zh) 一种心电信号处理方法及装置
CN103989462B (zh) 一种脉搏波形第一特征点和第二特征点的提取方法
CN108113665B (zh) 一种心电信号自动降噪方法
CN105640545A (zh) 一种胎儿心电信号提取方法及装置
CN105726018A (zh) 一种与rr间期无关的房颤自动检测方法
CN103654770A (zh) 移动心电信号qrs波实时波检测方法及装置
CN110786850A (zh) 基于多特征稀疏表示的心电信号身份识别方法及系统
CN110680302A (zh) 一种心电信号特征波的自动识别方法
CN110313894A (zh) 基于卷积神经网络的心率失常分类算法
CN111956208B (zh) 一种基于超轻量级卷积神经网络的ecg信号分类方法
CN103705234A (zh) 动态心电信号数据中的检波方法和装置
CN108567418A (zh) 一种基于PCANet的脉搏信号亚健康检测方法及检测系统
CN109124620A (zh) 一种房颤检测方法、装置及设备
Xia et al. Atrial fibrillation detection using stationary wavelet transform and deep learning
CN103829944A (zh) 基于模式识别的胸阻抗信号处理方法
CN107361764A (zh) 一种心电信号特征波形r波的快速提取方法
CN110327032A (zh) 一种单导心电信号pqrst波联合精准识别算法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20160106

RJ01 Rejection of invention patent application after publication