CN104299929A - Systems and methods for in-situ wafer edge and backside plasma cleaning - Google Patents

Systems and methods for in-situ wafer edge and backside plasma cleaning Download PDF

Info

Publication number
CN104299929A
CN104299929A CN201410345448.7A CN201410345448A CN104299929A CN 104299929 A CN104299929 A CN 104299929A CN 201410345448 A CN201410345448 A CN 201410345448A CN 104299929 A CN104299929 A CN 104299929A
Authority
CN
China
Prior art keywords
workpiece
dielectric
plate
upper plate
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410345448.7A
Other languages
Chinese (zh)
Inventor
金基占
杰克·陈
金允上
肯尼斯·乔治·德尔费恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/032,165 external-priority patent/US20150020848A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710589859.4A priority Critical patent/CN107516626B/en
Publication of CN104299929A publication Critical patent/CN104299929A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

The invention relates to systems and methods for in-situ wafer edge and backside plasma cleaning. Specifically, a lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece.

Description

For the system and method for in-situ wafer edge and dorsal part plasma cleaning
Technical field
Present invention relates in general to field of semiconductor manufacture, relate more specifically to the system and method for in-situ wafer edge and dorsal part plasma cleaning.
Background technology
In the manufacture process of semiconductor chip, substrate through a series of deposition of material and Transformatin to form the pattern of multiple electric conducting material and dielectric substance on the final substrate forming Functional integrated circuit equipment.In multiple material Transformatin process, that is, during etching process, usually can form the material of etch byproducts in very low marginal zone in the plasma density of substrate.The material of etch byproducts can be any material type used during semiconductor chip manufactures, and generally includes the polymer be made up of carbon, oxygen, nitrogen, fluorine etc.Because etch byproducts material is formed near the outer rim of substrate, etch byproducts material can become unstable and depart from from substrate/be separated, thus becomes the source of the potential material contamination of other parts of the manufacture semiconductor chip of substrate.In addition, during multiple manufacturing process, byproduct material can adhere on any expose portion on substrate backside surface, thus becomes another source of the potential material contamination of the key component of substrate.Therefore, during substrate manufactures semiconductor device, problematic byproduct material must be removed from the dorsal part of the outer rim of substrate and substrate.Under this background the present invention is proposed just.
Summary of the invention
In one embodiment, a kind of semiconductor processing system is disclosed.Described system comprises lower electrode plate and radio-frequency power supply, and described radio-frequency power supply is connected to supply radio-frequency power to described lower electrode plate.Described system also comprises and is positioned to be parallel to described lower electrode plate and dielectric upper plate spaced away.Described system also comprises electric pole plate, and described electric pole plate is positioned to contiguous described dielectric upper plate, makes described dielectric upper plate between described lower electrode plate and described electric pole plate.Electric pole plate is electrically connected to ground reference.Described system also comprises dielectric supports body, and described dielectric supports body is restricted in the region of workpiece support between described lower electrode plate and described dielectric upper plate in the mode of electric insulation.Described system also comprises Purge gas service duct, described Purge gas service duct be formed as supply Purge gas between described lower electrode plate and described dielectric upper plate in the region of the central position of described dielectric upper plate.Described system also comprises process gas service duct, described process gas service duct be formed as supplying process gas between described lower electrode plate and described dielectric upper plate in the region of the periphery of described dielectric upper plate.Described dielectric supports body is defined as when described workpiece is present on described dielectric supports body, described Workpiece fixing become to be in vicinity and be arranged essentially parallel to the position of described dielectric upper plate, make described Purge gas between described dielectric upper plate and the end face of described workpiece, flow through the end face of described workpiece from described Purge gas service duct, to prevent described process gas from flowing through the end face of described workpiece, and make described process gas around the outer rim of described workpiece and below described workpiece, flow into described lower electrode plate and described workpiece bottom surface between region in.
In one embodiment, a kind of method for carrying out plasma cleaning to the outer region of workpiece and bottom surface is disclosed.Described method comprises and is positioned on dielectric supports body by the bottom surface of workpiece, this dielectric supports body be defined as with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of dielectric upper plate of lower electrode plate.Electric pole plate is positioned to the upper surface of contiguous dielectric upper plate.Lower electrode plate is connected with received RF power.Electric pole plate is electrically connected to ground reference.Described method also comprises the narrow gap, lower surface interval that location dielectric supports body makes the end face of workpiece and dielectric upper plate, and makes to there is open area between the bottom surface and the upper surface of lower electrode plate of workpiece.Described method also comprise make Purge gas flow between the end face of workpiece and the lower surface of dielectric upper plate narrow gap in central position, make Purge gas flow through narrow gap along the direction away from middle position towards the periphery of workpiece.Described method also comprises the outer region be positioned at outside narrow gap making process gas flow to workpiece.Described process gas flows in the described region between the bottom surface of described workpiece and the upper surface of described lower electrode plate.Described method also comprises radiofrequency supplier power to lower electrode plate, to make process gas change into plasma in the outer region around workpiece and the region between the bottom surface at workpiece and the upper surface of lower electrode plate.
In one embodiment, a kind of semiconductor processing system is disclosed.Described system comprises lower nozzle battery lead plate, and described lower nozzle battery lead plate has the interior zone for making process gas change into plasma.Described lower nozzle battery lead plate has the multiple blow vents extending to described interior zone from the upper surface of described lower nozzle plate.Described system also comprises the process gas service duct being formed as supplying the interior zone of described process gas to described lower nozzle battery lead plate.Described system also comprises radio-frequency power supply, and described radio-frequency power supply is connected to supply radio-frequency power to described lower nozzle battery lead plate to make described process gas change into described plasma in the interior zone of described lower nozzle battery lead plate.Described system also comprises the first upper plate, and described first upper plate is positioned to be parallel to described lower nozzle battery lead plate and spaced away.Described system also comprises the second upper plate, and described second upper plate is positioned to contiguous described first upper plate, makes described first upper plate between described lower nozzle battery lead plate and described second upper plate.Second upper plate is electrically connected to ground reference.Described system also comprises the dielectric edge ring with annular shape, and the upper surface of described dielectric edge ring is defined as to contact and supports the outer region of workpiece bottom.Described dielectric edge ring be defined as with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of the first upper plate of lower nozzle battery lead plate.Described system also comprises Purge gas service duct, and described Purge gas service duct is formed as between supply Purge gas to the upper surface and the lower surface of described first upper plate of described lower nozzle battery lead plate in the region of the central position of described first upper plate.Described dielectric edge ring is defined as when described workpiece is present on described dielectric edge ring, described Workpiece fixing become contiguous and be arranged essentially parallel to described first upper plate, described Purge gas is made between the lower surface and the end face of described workpiece of described first upper plate, to flow through the end face of described workpiece from described Purge gas service duct, to prevent the reacted constituent of described plasma from arriving the end face of described workpiece.
In one embodiment, a kind of method for carrying out plasma cleaning to the bottom surface of workpiece is disclosed.Described method comprises by Workpiece fixing on the dielectric edge ring with annular shape, and the upper surface of this dielectric edge ring is defined as to contact and supports the outer region of workpiece bottom.Dielectric edge ring be defined as with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of the first upper plate of lower nozzle battery lead plate.Second upper plate is positioned to the upper surface of contiguous first upper plate.Lower nozzle battery lead plate is connected with received RF power.Second upper plate is electrically connected to ground reference.Described method also comprises the narrow gap, lower surface interval that location dielectric edge ring makes the end face of workpiece and the first upper plate, and makes in the bottom surface in dielectric edge ring of workpiece and there is open area between the upper surface of lower nozzle battery lead plate.Described method also comprises makes Purge gas flow to central position in narrow gap, makes Purge gas flow through narrow gap along the direction away from middle position towards the periphery of workpiece.Described method also comprises the interior zone making process gas flow to lower nozzle battery lead plate.Described method also comprises radiofrequency supplier power to lower nozzle battery lead plate, to make process gas change into plasma in the interior zone of lower nozzle battery lead plate, the reacted constituent of plasma is flowed into the open area between bottom surface inside the dielectric edge ring of workpiece and the upper surface of lower nozzle battery lead plate from the interior zone of lower nozzle battery lead plate by blow vent thus.
By reference to the accompanying drawings, from illustrating that following detailed description of the present invention can more understand other aspects of the present invention and advantage by way of example.
Accompanying drawing explanation
Figure 1A shows the semiconductor processing system according to an embodiment of the invention.
Figure 1B shows the horizontal cross intercepted according to the A-A line represented in Figure 1A of an embodiment of the invention.
Fig. 1 C shows the modification of the semiconductor processing system according to an embodiment of the invention, wherein processes gas service duct and is defined as to pass dielectric upper plate in multiple positions of the periphery around dielectric upper plate.
Fig. 1 D shows the horizontal cross intercepted according to the A-A line represented in Fig. 1 C of an embodiment of the invention.
Fig. 1 E shows the modification being defined for the semiconductor processing system of the Figure 1A using remote plasma source according to an embodiment of the invention.
Fig. 1 F shows the semiconductor processing system of the Figure 1A according to an embodiment of the invention, and it is configured to workpiece and declines to rest on bottom electrode assembly to carry out plasma treatment to the outer rim of workpiece.
Fig. 2 A shows the semiconductor processing system according to an embodiment of the invention.
Fig. 2 B shows the horizontal cross intercepted according to the B-B line represented in Fig. 2 A of an embodiment of the invention.
Fig. 2 C shows the illustrative embodiments being restricted to a pile ring-type annulus be spaced apart from each other by the space forming blow vent according to the dielectric edge ring of an embodiment of the invention.
Fig. 2 D shows the modification being defined for the semiconductor processing system of Fig. 2 A using remote plasma source according to an embodiment of the invention.
Fig. 2 E shows the semiconductor processing system of Fig. 2 A according to an embodiment of the invention, and it is configured to workpiece is declined to rest on bottom electrode assembly to carry out plasma treatment to the outer rim of workpiece.
Fig. 3 A shows the semiconductor processing system according to an embodiment of the invention.
Fig. 3 B shows the modification being defined for the semiconductor processing system of Fig. 3 A using remote plasma source according to an embodiment of the invention.
Fig. 3 C shows the semiconductor processing system of Fig. 3 A according to an embodiment of the invention, and it is configured to workpiece is declined to rest on bottom electrode assembly to carry out plasma treatment to the outer rim of workpiece.
Fig. 4 shows the semiconductor processing system according to an embodiment of the invention, and this system is the modification of the system that Fig. 3 A describes.
Fig. 5 A and Fig. 5 B shows the semiconductor processing system according to an embodiment of the invention, and these two systems are also the modification of the system that Fig. 3 A describes.
Fig. 5 C shows the modification being defined as the semiconductor processing system of Fig. 5 A using remote plasma source according to an embodiment of the invention.
Fig. 6 shows the flow chart for carrying out the method for plasma cleaning to the bottom surface of workpiece according to an embodiment of the invention.
Fig. 7 shows the flow chart for carrying out the method for plasma cleaning to the bottom surface of workpiece according to an embodiment of the invention.
Fig. 8 shows the flow chart of the method for carrying out hypotenuse semiconductor clean and dorsal part clean in common plasma process system to workpiece according to an embodiment of the invention.
Embodiment
In the following description, set forth multiple detail to provide to understand completely of the present invention.But, one of ordinary skill in the art appreciates that when do not have in these details some or all can implement the present invention.In other instances, the operation of known method is not described in detail so that can fuzzy the present invention necessarily.
Figure 1A shows the semiconductor processing system 100 according to an embodiment of the invention.Described system comprises room 101.In this room 101, dielectric upper plate 105 is positioned to parallel with lower electrode plate 103 and is separated with it.Electric pole plate 107 is positioned to contiguous dielectric upper plate 105, makes dielectric upper plate 105 between lower electrode plate 103 and electric pole plate 107.Electric pole plate 107 is electrically connected to ground reference 128, as shown in electrical fitting 129.Dielectric upper plate 105 forms top electrode assembly 108 together with electric pole plate 107.
Radio frequency (RF) power supply 123 be connected with by match circuit 125 radiofrequency supplier power to lower electrode plate 103, as shown in electrical fitting 127.Should be appreciated that match circuit 125 is restricted to be resisted by electrical fitting 127 controlling resistance, the radio-frequency power supplied can be transmitted efficiently by region 140.In lower electrode plate 103 is arranged on and is kept by outer substrate 136 in substrate 135.Outer substrate 136 is electrically connected to ground reference 138, as shown in electrical fitting 137.Interior substrate 135 is formed by dielectric substance, to make the infrabasal plate 103 of radio frequency powered electrically separate with the outer substrate 136 of ground connection.Lower electrode plate 103, interior substrate 135 form bottom electrode assembly 104 together with outer substrate 136.
Top electrode assembly 108 region 140 passed through between the upper surface of lower electrode plate 103 and the lower surface of dielectric upper plate 105 separates with bottom electrode assembly 104.Dielectric supports body is restricted to and is supported on by workpiece 109 in the region 140 between lower electrode plate 103 and dielectric upper plate 105 in the mode of electric insulation.In the execution mode shown in Figure 1A, dielectric supports body is restricted to dielectric lifter pin 111 in groups, and dielectric lifter pin 111 in groups extends through lower electrode plate 103 to be supported on by workpiece 109 in the region 140 between lower electrode plate 103 and dielectric upper plate 105 in the mode of electric insulation.In this structure on the dielectric lifter pin 111 that workpiece 109 is supported in groups, workpiece 109 is in floating potential.In one embodiment, dielectric lifter pin 111 is in groups formed by nonconducting ceramic material.
Dielectric lifter pin 111 is in groups restricted in the region 140 extended in a controlled manner between lower electrode plate 103 and dielectric upper plate 105, controls the distance 112 in the gap 113 formed between the end face of workpiece 109 and dielectric upper plate 105 during with convenient workpiece 109 on dielectric lifter pin 111 in groups.In one embodiment, between the end face of workpiece 109 and dielectric upper plate 105, the distance 112 of vertical survey is about 0.35mm.But, should be appreciated that in other embodiments, the distance 112 between the end face of workpiece 109 and dielectric upper plate 105 can be set as required.In addition, should be appreciated that distance 112 between the end face of workpiece 109 and dielectric upper plate 105 during plasma processing operation and/or gap be adjustable.
In some embodiments, dielectric upper plate 105 can comprise for carrying out temperature controlled heater block to workpiece 109.Such as, in some embodiments, dielectric upper plate 105 can comprise radiant heater element, to pass gap 113 radiation heating workpiece 109.In other embodiments, dielectric upper plate 105 can comprise resistance type heater, for adding thermal dielectric upper plate 105, and is then provided for radiation heating and/or Convective Heating workpiece 109.
Purge gas service duct 115 is formed as supplying the region 140 of Purge gas to the central position of plate 105 on the dielectric between lower electrode plate 103 and dielectric upper plate 105.In one embodiment, such as shown in the example of Figure 1A, Purge gas service duct 115 is formed through electric pole plate 107 and both dielectric upper plates 105, when being on dielectric lifter pin 111 in groups with convenient workpiece on the dielectric plate 105 central position and distribute Purge gas in the substantial middle position of the end face of workpiece 109.Purge gas service duct 115 fluid is connected to the Purge gas source of supply 117 comprising Purge gas.
During plasma processing operation, Purge gas flows outwardly through gap 113 from middle position towards the outer periphery of workpiece 109 on the end face of workpiece 109, to prevent the reacted constituent of plasma 102 from entering gap 113 in the end face periphery of workpiece 109 between the end face of workpiece 109 and the bottom surface of dielectric upper plate 105.In addition, during plasma processing operation, Purge gas can be provided for cooling workpiece 109.For some execution modes utilizing heater block in plate 105 on the dielectric, what the cooling that the Purge gas in gap 113 provides and heater block provided add thermal controls the entirety of workpiece 109 temperature to provide.In various embodiments, Purge gas is restricted to such as nitrogen or helium etc. inert gas.But, be to be understood that, other gases or admixture of gas can be used in other embodiments as Purge gas, if these Purge gas and plasma treatment chemically compatible and the effect getting rid of reaction and plasma Body components from the region of the top face of workpiece 109 can either be provided can to provide again required temperature controlled effect.
Process gas service duct 119 fluid is connected to the process gas supply source 121 comprising process gas.Process gas is restricted to and changes into plasma 102 when being exposed to radio-frequency power.Process gas service duct 119 is formed as the position near supplying process gas to the periphery of dielectric upper plate 105.Be diffused into the region 140 between lower electrode plate 103 and dielectric upper plate 105 from processing gas service duct 119 process gas out.In the illustrative embodiments of Figure 1A, process gas service duct 119 is formed through electric pole plate 107, and comprises the open area 119A be formed between electric pole plate 107 and dielectric upper plate 105.
In various embodiments, process gas is restricted to one or more in oxygen base chemicals, fluorine based chemistry thing, chlorine based chemistries thing etc.But, be to be understood that, other gases or admixture of gas can be used in other embodiments as process gas, as long as process gas is restricted to change into the plasma 102 with suitable reacted constituent characteristic when being exposed to the radio-frequency power that electrical fitting 127 is supplied.It is also understood that in various embodiments, the composition of process gas can change according to the change of following characteristic: the radio-frequency power that will use, such as, and frequency, power, work period; The pressure of inside, room 101 will be fed to; The temperature of inside, room 101 will be fed to; Process gas is by the flow velocity of room 101; And affect the type of the reacted constituent specific reaction needed for of part when being exposed to plasma 102 of workpiece 109.In some embodiments, radio-frequency power is with 60 megahertzes (MHz) or higher frequency supply.
Figure 1B shows the horizontal cross intercepted according to the A-A line represented in Figure 1A of an embodiment of the invention.As shown in Figure 1B, Purge gas is distributed in the substantial middle position that Purge gas service duct 115 is restricted under plate 105 on the dielectric.In addition, open area for distributing Purge gas between electric pole plate 107 and dielectric upper plate 105 is restricted to the periphery around dielectric upper plate 105 in a substantially even way, makes the periphery allocation process gas in a substantially even way around dielectric upper plate 105.
Fig. 1 C shows the modification of the semiconductor processing system 100 according to an embodiment of the invention, and dielectric upper plate 105 is passed in multiple position, as shown in passage 119B in the periphery that wherein process gas service duct 119 is restricted to around dielectric upper plate 105.Fig. 1 D shows the horizontal cross intercepted according to the A-A line represented in Fig. 1 C of an embodiment of the invention.As shown in figure ip, the passage 119B that process gas flows through locates in a substantially even way around the periphery of dielectric upper plate 105, makes the periphery allocation process gas in a substantially even way around dielectric upper plate 105.In addition, it should be noted that Fig. 1 D shows another execution mode that Purge gas is supplied the position below through the middle section of multiple passage 115A arrival dielectric upper plate 105.
Again with reference to Figure 1A, carry out plasma processing operation in semiconductor processing system 100 during, Purge gas flows through Purge gas service duct 115, and processes gas and flow through process gas service duct 119.The dielectric supports body of the dielectric lifter pin 111 be restricted in groups is defined as and workpiece 109 is positioned near and is arranged essentially parallel to the position of dielectric upper plate 105, make when workpiece 109 is on dielectric lifter pin 111 in groups, make the end face flowing through workpiece 109 between the end face of Purge gas plate 105 and workpiece 109 on the dielectric from Purge gas service duct 115, to prevent from processing gas flow through the end face of workpiece 109 and make process gas around the outer rim of workpiece 109 and in the region flowed between lower electrode plate 103 and the bottom surface of workpiece 109 in the below of workpiece 109.
The Purge gas that the periphery of plate 105 is flowed out on the dielectric prevents any reacted constituent processing gas and plasma 102 from entering the region of workpiece 109 top face.Process gas walks around workpiece 109 and at workpiece 109 flowing underneath, and it carries the radio-frequency power arriving lower electrode plate 103 to change into plasma 102 by electrical fitting 127.Plasma 102 is exposed to the outer rim of workpiece 109 and the bottom surface of workpiece 109, to react with the material undesirably had in these regions of workpiece 109 and to remove these materials undesirably had from these regions of workpiece 109.The byproduct of reaction material of process gas, Purge gas and plasma 102 is extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.
Should be appreciated that by use plasma etch material and/or by using such as Y 2o 3or the protective finish such as other ceramic coatings, can the various assemblies that the reacted constituent of plasma 102 is exposed to of protection system 100 as required.In addition, in some embodiments, the structure of such as bottom electrode assembly 104 and so on can be covered by thin quartz plate, guarantees the interference that can not be subject to this thin quartz plate from lower electrode plate 103 to the conveying of the radio-frequency power of plasma 102 simultaneously.
During use system 100 carries out plasma processing operation, the material etch rate of workpiece 109 bottom surface depends in part on the pressure of the process gas be applied in the process radio-frequency power of gas and room 101.More particularly, radio-frequency power is higher, and the material corrosion speed of workpiece 109 bottom surface is faster, and vice versa.Further, the pressure of the process gas in room 101 is lower, and the material corrosion speed of workpiece 109 bottom surface is faster, and vice versa.In addition, in the low pressure process gas in room 101, the material corrosion speed of workpiece 109 bottom surface is improved.
In various embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is change in about 100 watts (W) scope to about 10 kilowatts (kW).In some embodiments, the radio-frequency power supplied by radio-frequency power supply 123 changes in the scope of about 1kW to about 3kW.In various embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is change in the scope of about 2 megawatts (MHz) to about 60MHz.In some embodiments, direct current (DC) power also can be applied on lower electrode plate 103.In addition, in some embodiments, at one time also or different time, such as, in a looping fashion, multifrequency radio-frequency power can be fed to lower electrode plate 103.
In some embodiments, the pressure of the process gas of chamber interior is controlled in change in about 50 millitorrs (mT) to the scope of about 10 holders (T).In some embodiments, the pressure of the process gas of chamber interior is controlled in the scope up to about 2T.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces (volume), and flow velocity is in the scope of about 0.1 Standard Liters per Minute (slm) to about 5slm.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces, and flow velocity is in the scope of about 1slm to about 5slm.
Fig. 1 E shows the modification being defined for the semiconductor processing system 100 of the Figure 1A using remote plasma source 184 according to an embodiment of the invention.Remote plasma source 184 is defined for and produces the reacted constituent of plasma 102 in outside, room 101, and makes the reacted constituent of plasma 102 flow to region below workpiece 109 by conduit 180, as indicated by arrow 182.Equally in this embodiment, from radio-frequency power supply 123 radiofrequency supplier power to outer substrate 136, as shown in electrical fitting 127A, to produce the reacted constituent of more plasma 102 in the region near the outer rim of workpiece 109.In this embodiment, should be appreciated that radio frequency powered part and ground reference 138 electric insulation of outer substrate 136.
In various embodiments, the radio-frequency power supplied by radio-frequency power supply 123 changes in the scope of about 1kW to about 10kW.In some embodiments, the radio-frequency power supplied by radio-frequency power supply 123 changes in the scope of about 5kW to about 8kW.In some embodiments, the frequency of the radio-frequency power supplied by radio-frequency power supply 123 changes in the scope of about 2MHz to about 60MHz.In some embodiments, direct current (DC) power also can be used for required lower electrode plate 104.In addition, in some embodiments, at one time also or different time, such as, in a looping fashion, multifrequency radio-frequency power can be fed to outer substrate 136.
In addition, in this embodiment, should be appreciated that Purge gas is from the end face flowing through workpiece 109 between the end face of Purge gas service duct 115 plate 105 and workpiece 109 on the dielectric, to prevent the reacted constituent of plasma 102 from flowing to the top face of workpiece 109 and reacting with it.The byproduct of reaction material of process gas, Purge gas and plasma 102 is extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.In various embodiments, remote plasma source 184 is restricted to the reacted constituent using radio-frequency power, microwave power or their combination to produce plasma 102.In addition, in various embodiments, remote plasma source 184 is restricted to capacitively coupled plasma source also or inductively-coupled plasma sources.
In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 0.1T to about 10T.In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 1T to about 10T.In some embodiments, process gas is fed to remote plasma source 184, and flow velocity is in the scope of about 0.1slm to about 5slm.In some embodiments, process gas is fed to remote plasma source 184, and flow velocity is in the scope of about 1slm to about 5slm.
Fig. 1 F shows the semiconductor processing system 100 according to an embodiment of the invention, and it is configured to make workpiece 109 decline to rest on bottom electrode assembly 104, to carry out plasma treatment to the outer rim of workpiece 109.In this embodiment, Purge gas flows through Purge gas service duct 115, and processes gas and flow through process gas service duct 119.Dielectric lifter pin 111 in groups shrinks completely, make workpiece 109 near and the position being arranged essentially parallel to dielectric upper plate 105 rests on bottom electrode assembly 104, make the end face flowing through workpiece 109 between the end face of Purge gas plate 105 and workpiece 109 on the dielectric from Purge gas service duct 115, to prevent process gas from flowing through the end face of workpiece 109 and making process gas flow around the outer rim of workpiece 109.
The Purge gas that the periphery of plate 105 is flowed out on the dielectric prevents any reacted constituent processing gas and plasma 102A from entering the region of workpiece 109 top face.Process gas flows around the outer rim of workpiece 109, and the radio-frequency power carried by electrical fitting 127 and arrive lower electrode plate 103 converts it into plasma 102A.Plasma 102A is exposed to the outer rim of workpiece 109, to react with the material undesirably had and to remove these materials undesirably had from these regions of workpiece 109.The byproduct of reaction material of process gas, Purge gas and plasma 102A is extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.
Diagram 2A shows the semiconductor processing system 200 according to an embodiment of the invention.As the system 100 of Figure 1A, system 200 comprises room 101, top electrode assembly 108 and bottom electrode assembly 104.Top electrode assembly 108 comprises dielectric upper plate 105 and electric pole plate 107.Electric pole plate 107 is electrically connected to ground reference 128, as shown in electrical fitting 129.Purge gas service duct 115 extends through top electrode assembly 108 for the central position supply Purge gas below plate 105 on the dielectric from Purge gas source of supply 117.Process gas service duct 119 extends through top electrode assembly 108 for the neighboring supplying process gas at workpiece 109 from process gas supply source 121.
Bottom electrode assembly 104 comprises the lower electrode plate 103 supported by interior substrate 135, and this interior substrate 135 is supported by outer substrate 136.Lower electrode plate 103 is electrically connected with the radio-frequency power received by match circuit 125 and electrical fitting 127 from radio-frequency power supply 123.Outer substrate 136 be formed by electric conducting material and be electrically connected to ground reference 137.Interior substrate 135 be by dielectric substance formed to make the lower electrode plate 103 of radio frequency powered and outer substrate 136 electric insulation of ground connection.
System 200 can also comprise lifter pin 111A in groups, for transporting workpiece 109 in room 101 and shift out workpiece from room 101 during workpiece 109 displacement.But different from the dielectric lifter pin 111 in groups in system 100, the lifter pin 111A in groups in system 200 is used as during plasma processing operation at the dielectric supports body of room 101 inner support workpiece 109.On the contrary, system 200 comprises the dielectric edge ring 201 of the dielectric supports body as workpiece 109.Dielectric edge ring 201 is formed by dielectric substance and has annular shape, and the upper surface of dielectric edge ring 201 is defined for contact and supports the outer region of the bottom surface of workpiece 109.
Fig. 2 B shows the horizontal cross intercepted according to the B-B line represented in Fig. 2 A of an embodiment of the invention.As shown in Figure 2 B, dielectric edge ring 201 has annular shape, to limit the plasma 203 will produced in the region between the end face and the bottom surface of workpiece 109 of lower electrode plate 103.Like this, dielectric edge ring 201 is restricted to plasma forbidden zone (PEZ) ring.
Again with reference to Fig. 2 A, dielectric edge ring 201 is restricted in the region 140 extended in a controlled manner between lower electrode plate 103 and dielectric upper plate 105, controls the distance 112 between the end face of workpiece 109 and dielectric upper plate 105 during with convenient workpiece 109 on dielectric edge ring 201.Dielectric edge ring 201 extends in the region 140 between lower electrode plate 103 and dielectric upper plate 105, so also below workpiece 109 and above lower electrode plate 103, form plasma generating volume space, make the bottom surface of workpiece 109 can be exposed to the plasma 203 produced with plasma generating volume space.Therefore, dielectric edge ring 201 is also for being limited to the plasma generating volume space below workpiece 109 by plasma 203.Should be appreciated that in some embodiments, dielectric edge ring 201 is adjustable relative to the position of lower electrode plate 103, thus provides the adjustment of the size to the plasma treatment volumetric spaces between workpiece 109 and lower electrode plate 103.
Dielectric edge ring 201 comprises blow vent 205, and this blow vent 205 is defined as the permission when workpiece 109 is on dielectric edge ring 201 and flows to the region between the bottom surface of lower electrode plate 103 and workpiece 109 from the process gas that process gas service duct 119 flows out.Fig. 2 C shows an execution mode, and wherein dielectric edge ring 201 is restricted to a pile ring-type annulus 201A be spaced apart from each other by the space forming blow vent 205.In this embodiment, ring-type annulus 201A can remain by structural elements 204 relation be spaced apart from each other, and this structural elements 204 is connected on multiple ring-type annulus 201A in multiple positions of the circumference around ring-type annulus 201A.In addition, in some embodiments, these structural elements 204 can be defined as to keep ring-type annulus 201A with fixing space matching.Further, in some embodiments, these structural elements 204 can be defined as the space matching controlled change respect to one another being provided for ring-type annulus 201A, make it possible to the size of the spacing regulated between the multiple ring-type annulus 201A forming air vent hole 205.
Should be appreciated that the execution mode of the dielectric edge ring 201 of Fig. 2 C is one of execution mode of multiple feasible dielectric edge ring 201.Such as, in other embodiments, dielectric edge ring 201 can be the single overall structure of the passage comprising radially orientation, and these passages are used for the ventilation of the plasma treatment volumetric spaces below workpiece 109.But, cast aside specific execution mode, be to be understood that, dielectric edge ring 201 is formed by dielectric substance, there is the end face that the outer radial periphery be defined as in the bottom surface of workpiece 109 supports workpiece 109, and comprising the passage of through hole, blow vent or other types, making dielectric edge ring 201 with dealing with gas and the baffle plate from the plasma treatment byproduct material out of the plasma treatment volumetric spaces below workpiece 109.
During by process gas service duct 119 supplying process gas, exhaust apparatus 131 can be closed process gas can be diffused in the plasma generating volume space below workpiece 109 through the blow vent 205 of dielectric edge ring 201.Then, Purge gas can be supplied to clear away the process gas in the gap 113 above workpiece 109 by Purge gas service duct 115.Can by match circuit 125 and electrical fitting 127 from radio-frequency power supply 123 radiofrequency supplier power to lower electrode plate 103, the process gas in the plasma generating volume space below workpiece 109 is changed into plasma 203, the reacted constituent of plasma 203 and the bottom surface interreaction of workpiece 109 are to remove the material undesirably had from workpiece 109 thus.Then, exhaust apparatus 131 can be opened to extract Purge gas in room 101 and plasma treatment byproduct material out, and from the plasma generating volume space pump-and-treat system gas below workpiece 109 and plasma treatment byproduct material, the blow vent 205 making it pass dielectric edge ring 201 reaches exhaust outlet 133, as shown in arrow 139.In addition, in some embodiments, exhaust apparatus 131 can be opened at radiofrequency supplier power during producing plasma 203, thus for pump-and-treat system gas during plasma processing operation, Purge gas and plasma treatment byproduct material.
Be to be understood that; by using plasma etch material and/or by using the protective finish of such as Y2O3 or other ceramic coatings and so on, can any part that the reacted constituent of plasma 203 is exposed to of the various assemblies of protection system 200 as required.In addition, in some embodiments, the structure of such as bottom electrode assembly 104 and so on can be covered by thin quartz plate, guarantees the interference that can not be subject to this thin quartz plate from lower electrode plate 103 to the conveying of the radio-frequency power of plasma 203 simultaneously.
During use system 200 carries out plasma processing operation, the material etch rate of workpiece 109 bottom surface depends in part on the pressure of the process gas be applied in the process radio-frequency power of gas and room 101.More particularly, radio-frequency power is higher, and the material etch rate of workpiece 109 bottom surface is faster, and vice versa.Further, the pressure of the process gas in room 101 is lower, and the material etch rate of workpiece 109 bottom surface is faster, and vice versa.In addition, in the low pressure process gas in room 101, the uniformity of the material corrosion speed of workpiece 109 bottom surface is improved.
In various embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is in the scope of about 100W to about 10kW.In some embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is in the scope of about 1kW to about 3kW.In some embodiments, the frequency of the radio-frequency power supplied by radio-frequency power supply 123 is in the scope of about 2MHz to about 60MHz.In some embodiments, direct current (DC) power also can be applied on lower electrode plate 103.In addition, in some embodiments, at one time also or different time, such as, in a looping fashion, multifrequency radio-frequency power can be fed to lower electrode plate 103.
In some embodiments, the pressure of the process gas of chamber interior is controlled in the scope of about 50mT to about 10T.In some embodiments, the pressure of the process gas of chamber interior is controlled in the scope up to about 2T.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces, and flow velocity is in the scope of about 0.1slm to about 5slm.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces, and flow velocity is in the scope of about 1slm to about 5slm.
Fig. 2 D shows the modification being defined for the semiconductor processing system 200 of Fig. 2 A using remote plasma source 184 according to an embodiment of the invention.Remote plasma source 184 is defined for and produces the reacted constituent of plasma 203 in outside, room 101, and makes the reacted constituent of plasma 203 flow to region below workpiece 109 by conduit 180, as indicated by arrow 182.
Process gas, Purge gas and plasma 203 byproduct of reaction material extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.In various embodiments, remote plasma source 184 is restricted to the reacted constituent using radio-frequency power, microwave power or their combination to produce plasma 203.In addition, in various embodiments, remote plasma source 184 is restricted to capacitively coupled plasma source also or inductively-coupled plasma sources.
In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 0.1T to about 10T.In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 1T to about 10T.In some embodiments, process gas and be fed to the flow velocity of remote plasma source 184 in the scope of about 0.1slm to about 5slm.In some embodiments, process gas and be fed to the flow velocity of remote plasma source 184 in the scope of about 1slm to about 5slm.
Fig. 2 E shows the semiconductor processing system 200 according to an embodiment of the invention, and it is configured to make workpiece 109 decline to rest on bottom electrode assembly 104, to carry out plasma treatment to the outer rim of workpiece 109.In this embodiment, Purge gas flows through Purge gas service duct 115, and processes gas and flow through process gas service duct 119.Dielectric edge ring 201 shrinks completely, make workpiece 109 near and the position being arranged essentially parallel to dielectric upper plate 105 rests on bottom electrode assembly 104, make the end face flowing through workpiece 109 between the end face of Purge gas plate 105 and workpiece 109 on the dielectric from Purge gas service duct 115, to prevent process gas from flowing through the end face of workpiece 109 and making process gas flow around the outer rim of workpiece 109.
The Purge gas that the periphery of plate 105 is flowed out on the dielectric prevents any reacted constituent processing gas and plasma 203A from entering the region of workpiece 109 top face.Process gas flows around the outer rim of workpiece 109, and it is carried the radio-frequency power of arrival lower electrode plate 103 by electrical fitting 127 and changes into plasma 203A.Plasma 203A is exposed to the outer rim of workpiece 109, to react with the material undesirably had and to remove these materials undesirably had from these regions of workpiece 109.Process gas, Purge gas and plasma 203A byproduct of reaction material are extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.
Fig. 3 A shows the semiconductor processing system 300 according to an embodiment of the invention.System 300 comprises room 101, top electrode assembly 306, and this top electrode assembly 306 comprises dielectric upper plate 105A and electric pole plate 107.Electric pole plate 107 is electrically connected to ground reference 128, as shown in electrical fitting 129.Purge gas service duct 115 extends through top electrode assembly 306 for the central position supply Purge gas below plate 105A on the dielectric from Purge gas source of supply 117.
System 300 also comprises bottom electrode assembly 304, and this bottom electrode assembly 304 comprises lower nozzle battery lead plate 301, and this lower nozzle battery lead plate 301 has the interior zone 303 for process gas being changed into plasma 302.Lower nozzle battery lead plate 301 comprises the multiple blow vents 305 extending to interior zone 303 from the upper surface of lower nozzle plate 301.Lower nozzle battery lead plate 301 is supported by interior substrate 135, and this interior substrate is supported by outer substrate 136.Lower nozzle battery lead plate 301 be electrically connected with by match circuit 125 and electrical fitting 127 from radio-frequency power supply 123 received RF power.Outer substrate 136 be formed by electric conducting material and be electrically connected to ground reference 137.Interior substrate 135 be by dielectric substance formed to make the lower nozzle battery lead plate 301 of radio frequency powered and outer substrate 136 electric insulation of ground connection.Will be appreciated that, lower nozzle battery lead plate 301 is with dealing with gas distribution plate and radio frequency delivery electrodes.
Process gas service duct 307 is formed through bottom electrode assembly 304, with from process gas supply source 311 supplying process gas to the interior zone 303 of lower nozzle battery lead plate 301, as shown in arrow 309.Be supplied to the radio-frequency power of lower nozzle battery lead plate 301 for process gas being changed into plasma 302 in the interior zone 303 of lower nozzle battery lead plate 301.
In view of above-mentioned, dielectric upper plate 105A representative is oriented to be parallel to lower nozzle battery lead plate 301 and the first upper plate spaced away, and wherein the first upper plate is formed by dielectric substance.Further, electric pole plate 107 representative is oriented to the second upper plate of contiguous first upper plate, and make the first upper plate between lower nozzle battery lead plate 301 and the second upper plate, wherein the second upper plate is electrically connected to ground reference 128.
System 300 can also comprise lifter pin 111A in groups, for transporting workpiece 109 in room 101 and shift out workpiece 109 from room 101 during workpiece 109 displacement.But different from the dielectric lifter pin 111 in groups in system 100, the lifter pin 111A in groups in system 300 is used as during plasma processing operation at the dielectric supports body of room 101 inner support workpiece 109.On the contrary, identical with system 200, system 300 comprises the dielectric edge ring 201 of the dielectric supports body as workpiece 109.
As mentioned above, dielectric edge ring 201 is formed by dielectric substance and has annular shape, its upper surface is defined for contact and supports the outer region of the bottom surface of workpiece 109, and workpiece 109 is supported in the region 340 between the upper surface of lower nozzle battery lead plate 301 and the lower surface of dielectric upper plate 105A (that is, the first upper plate) in the mode of electric insulation.In addition, as mentioned above, dielectric edge ring 201 comprises blow vent 205, and this blow vent 205 is defined as allow process gas and flow from the plasma treatment byproduct material in the region below workpiece 109.Be to be understood that, dielectric edge ring 201 is formed by dielectric substance, there is the end face that the outer radial periphery be defined as in the bottom surface of workpiece 109 supports workpiece 109, and comprise the passage of through hole, blow vent or other types, make dielectric edge ring 201 baffle plate dealing with gas and the plasma treatment byproduct material from the region below workpiece 109.
Within the system 300, dielectric edge ring 201 is restricted in the region 340 extended in a controlled manner between lower nozzle battery lead plate 301 and dielectric upper plate 105A, controls the distance 112 between the end face of workpiece 109 and dielectric upper plate 105 during with convenient workpiece 109 on dielectric edge ring 201.Dielectric edge ring 201 is restricted to and is positioned to contiguous by workpiece 109 and is arranged essentially parallel to dielectric upper plate 105A (the first upper plate), make when workpiece 109 is present on dielectric edge ring 201, Purge gas is made to be flow through the end face of workpiece 109 from Purge gas service duct 115 by the gap 113 between the lower surface of dielectric upper plate 105A (the first upper plate) and the end face of workpiece 109, to prevent the reacted constituent of plasma 302 from arriving the end face of workpiece 109.
Dielectric edge ring 201 extends to and equally in the region 340 between lower nozzle battery lead plate 301 with dielectric upper plate 105A below workpiece 109 and above lower nozzle battery lead plate 301, forms plasma generating volume space, makes the bottom surface of workpiece 109 can be exposed to the plasma 302 produced with plasma generating volume space.Therefore, dielectric edge ring 201 is also for being limited to the plasma generating volume space below workpiece 109 by plasma 302.Should be appreciated that in some embodiments, dielectric edge ring 201 is adjustable relative to the position of lower nozzle battery lead plate 301, thus provides the size for regulating the plasma treatment volumetric spaces between workpiece 109 and lower nozzle battery lead plate 301.
During system 300 works to carry out plasma processing operation, supply Purge gas to flow through the end face of workpiece 109 by Purge gas service duct 115 from Purge gas source of supply 117, thus prevent the reacted constituent of plasma 302 from arriving the end face of workpiece 109.In addition.When by match circuit 125 and electrical fitting 127 from radio-frequency power supply 123 radiofrequency supplier power to lower nozzle battery lead plate 301 time, supply the interior zone 303 of 311 supplying process gas to lower nozzle battery lead plate 301 by process gas service duct 307 from process gas.Process gas in the interior zone 303 of lower nozzle battery lead plate 301 is changed into plasma 302 by radio-frequency power, and the reacted constituent of plasma 302 and the bottom surface interreaction of workpiece 109 are to remove the material undesirably had from workpiece 109 thus.Operation exhaust apparatus 131 is to extract Purge gas in room 101 and plasma treatment byproduct material out, and from the plasma generating volume space pump-and-treat system gas below workpiece 109 and plasma treatment byproduct material, the blow vent 205 making it pass dielectric edge ring 201 reaches exhaust outlet 133, as shown in arrow 139.
Should be appreciated that by use plasma etch material and/or by using such as Y 2o 3or the protective finish of other ceramic coatings and so on, can any part that the reacted constituent of plasma 302 is exposed to of the various assemblies of protection system 300 as required.In addition, in some embodiments, the structure of such as lower nozzle battery lead plate 301 and so on can be covered by thin quartz plate
During use system 300 carries out plasma processing operation, the material etch rate of workpiece 109 bottom surface depends in part on the pressure of the process gas be applied in the process radio-frequency power of gas and room 101.More particularly, radio-frequency power is higher, and the material etch rate of workpiece 109 bottom surface is faster, and vice versa.Further, the pressure of the process gas in room 101 is lower, and the material etch rate of workpiece 109 bottom surface is faster, and vice versa.In addition, in the low pressure process gas in room 101, the uniformity of the material corrosion speed of workpiece 109 bottom surface improves.
In various embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is in the scope of about 100W to about 10kW.In some embodiments, the radio-frequency power supplied by radio-frequency power supply 123 is in the scope of about 1kW to about 3kW.In some embodiments, the frequency of the radio-frequency power supplied by radio-frequency power supply 123 changes in the scope of about 2MHz to about 60MHz.In some embodiments, direct current (DC) power also can be applied on lower electrode plate 103.In addition, in some embodiments, at one time also or different time, such as, in a looping fashion, multifrequency radio-frequency power can be fed to lower electrode plate 103.
In some embodiments, the pressure of the process gas of chamber interior is controlled in the scope of about 50mT to about 10T.In some embodiments, the pressure of the process gas of chamber interior is controlled in the scope up to about 2T.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces, and flow velocity is in the scope of about 0.1slm to about 5slm.In some embodiments, process gas is fed to plasma 102 and produces volumetric spaces, and flow velocity is in the scope of about 1slm to about 5slm.
Fig. 3 B shows the modification being defined for the semiconductor processing system 300 of Fig. 3 A using remote plasma source 184 according to an embodiment of the invention.Remote plasma source 184 is defined for the reacted constituent producing plasma 302 in outside, room 101, and make the reacted constituent of plasma 302 flow to the interior zone 303 of lower nozzle battery lead plate 301 by conduit 180, as indicated by arrow 182, and the final region arrived below workpiece 109.
Process gas, Purge gas and plasma 302 byproduct of reaction material extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.In various embodiments, remote plasma source 184 is restricted to the reacted constituent using radio-frequency power, microwave power or their combination to produce plasma 302.In addition, in various embodiments, remote plasma source 184 is restricted to capacitively coupled plasma source also or inductively-coupled plasma sources.
In various embodiments, the radio-frequency power changed in the scope of about 1kW to about 10kW for producing plasma 302 in remote plasma source 184.In some embodiments, the radio-frequency power in the scope of about 5kW to about 8kW is used for producing plasma 302 in remote plasma source 184.In some embodiments, the radio-frequency power in the frequency range of about 2MHz to about 60MHz is used for producing plasma 302 in remote plasma source 184.In some embodiments, direct current (DC) power also can be applied on lower nozzle battery lead plate 301.In addition, in some embodiments, multifrequency radio-frequency power can at one time also or different time, such as, in a looping fashion, for producing plasma 302 in remote plasma source 184.
In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 0.1T to about 10T.In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 1T to about 10T.In some embodiments, process the flow velocity that gas is fed to remote plasma source 184 to change in the scope of about 0.1slm to about 5slm.In some embodiments, process the flow velocity that gas is fed to remote plasma source 184 to change in the scope of about 1slm to about 5slm.
Fig. 3 C shows the semiconductor processing system 300 according to an embodiment of the invention, and it is configured to make workpiece 109 decline to rest on bottom electrode assembly 304 to carry out plasma treatment to the outer rim of workpiece 109.In this embodiment, Purge gas flows through Purge gas service duct 115, and processes gas and flow through process gas service duct 119.Dielectric edge ring 201 shrinks completely, make workpiece 109 near and the position being arranged essentially parallel to dielectric upper plate 105A rests on bottom electrode assembly 304, make the end face flowing through workpiece 109 between the end face of Purge gas plate 105 and workpiece 109 on the dielectric from Purge gas service duct 115, to prevent process gas from flowing through the end face of workpiece 109 and making process gas flow around the outer rim of workpiece 109.
The Purge gas that the periphery of plate 105A is flowed out on the dielectric prevents any reacted constituent processing gas and plasma 302A from entering the region of workpiece 109 top face.Process gas flows around the outer rim of workpiece 109, and it is carried the radio-frequency power of arrival lower nozzle battery lead plate 301 by electrical fitting 127 and changes into plasma 302A.Plasma 302A is exposed to the outer rim of workpiece 109, to react with the material undesirably had in these regions of workpiece 109 and to remove these materials undesirably had from these regions of workpiece 109.Process gas, Purge gas and plasma 302A byproduct of reaction material are extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.
Fig. 4 shows the semiconductor processing system 400 according to an embodiment of the invention, and this system is the modification of the system 300 that Fig. 3 A describes.Specifically, the system 400 of Fig. 4 is identical with the system 300 of Fig. 3 A, and difference is that dielectric upper plate 105A is replaced by the conductive upper 105B formed by electric conducting material.The every other feature of the system 400 of Fig. 4 is identical with the every other feature of the system 300 of above-mentioned Fig. 3 A.Conductive upper 105B is electrically connected to ground reference 128.Therefore, in system 400, workpiece 109 is coupled to ground reference by conductive upper 105B capacitive character adjacent thereto.
Fig. 5 A and Fig. 5 B shows the semiconductor processing system 500 according to an embodiment of the invention, these two systems be also above-mentioned Fig. 3 A the modification of system 300.Specifically, Fig. 5 A is identical with the system 300 of Fig. 3 A with the system 500 of Fig. 5 B, and difference is that top electrode assembly 306 is replaced by configurable top electrode assembly 510, and is provided with process gas supply source 501.Fig. 5 A is identical with the every other feature of the system 300 of above-mentioned Fig. 3 A with other features of the system 500 of Fig. 5 B.
In system 500, configurable top electrode assembly 510 comprises the internal electrical pole plate 505 of conduction, dielectric component 503 and electric pole plate 107.Dielectric component 503 is for making internal electrical pole plate 505 and electric pole plate 107 electric insulation of conduction.Electric pole plate 107 is electrically connected to ground reference 128 by electrical fitting 129.The internal electrical pole plate 505 of conduction is electrically connected to switch 509 by electrical fitting 507, and switch 509 is electrically connected to ground reference 512 then.Like this, switch 509 arrives the electrical connection of ground reference 512 for the internal electrical pole plate 505 controlling to conduct electricity.
In addition, system 500 comprises the process gas service duct 119 being formed through configurable top electrode assembly 510, and the process gas service duct 119 described in system 100 be similar to reference to Figure 1A is formed through top electrode assembly 108.Process gas service duct 119 fluid is connected to the upper process gas supply 501 comprising process gas.Process gas is restricted to and changes into plasma 302 when being exposed to radio-frequency power.Process gas service duct 119 is formed as the position when workpiece is present on dielectric edge ring 201 near supplying process gas to workpiece 109 periphery.Valve 502 is configured to the air-flow controlling the process gas supplied by process gas service duct 119, close when making the air-flow from the process gas of upper process gas supply source 501 can work as the dorsal part plasma cleaning carrying out workpiece 109, and open when carrying out the hypotenuse plasma cleaning of workpiece 109.
Fig. 5 A shows the system 500 being in and workpiece 109 being carried out to the configuration status of dorsal part plasma cleaning.In this configuration, dielectric edge ring 201 raises to form plasma treatment volumetric spaces below workpiece 109, and from lower process gas supply source 311 supplying process gas to the interior zone 303 of lower nozzle battery lead plate 301 to produce plasma 302 below workpiece 109.In addition, in this configuration, valve 502 closes the air-flow of the process gas cut off from upper process gas supply source 501.In this configuration, supply Purge gas to the gap 113 configurable top electrode assembly 510 and workpiece 109 from Purge gas source of supply 117, to prevent the reacted constituent of plasma 302 from arriving the end face of workpiece 109.In addition, in this configuration, switch 509 is configured to make the internal electrical pole plate 505 of conduction to be electrically connected to ground reference 512.Like this, workpiece 109 is coupled to ground reference 512 by internal electrical pole plate 505 capacitive character of conduction.In other respects, it is substantially the same with the content described in the system 300 with reference to Fig. 3 A that use system 500 pairs of workpiece 109 carry out dorsal part plasma cleaning.
Fig. 5 B shows the system 500B being in and workpiece 109 being carried out to the configuration status of hypotenuse plasma cleaning.In this configuration, dielectric edge ring 201 declines completely workpiece is directly rested on lower nozzle battery lead plate 301.In addition, in this configuration, bottom electrode assembly 304 and configurable top electrode assembly 51 make the contiguous configurable top electrode assembly 510 of the end face of workpiece 109, to form gap 113 towards the other side's motion mutually.In this configuration, valve 502 is opened to connect the air-flow from upper process gas supply 501 to the process gas of the outer region of workpiece 109.In addition, in this configuration, Purge gas is supplied to the gap 113 configurable top electrode assembly 510 and workpiece 109 from Purge gas source of supply 117, to prevent the reacted constituent of plasma 513 from arriving the end face of workpiece 109.
In addition, in the configuration of Fig. 5 B, from radio-frequency power supply 123 radiofrequency supplier power to lower nozzle battery lead plate 301.Radio-frequency power is propagated by the transmission path extending to the outer substrate 136 of ground connection and both electric pole plates 107 of ground connection from lower nozzle battery lead plate 301, thus makes the process gas of the outer region being fed to workpiece 109 change into plasma 513.Along with above-mentioned conversion, Purge gas is positioned at central authorities distribution locations from Purge gas service duct 115 through gap 113 towards workpiece 109 outer periphery outwardly, thus prevent the reacted constituent of plasma 513 from entering gap 113 and then prevent the end face of itself and workpiece 109 from reacting to each other.In addition, should be appreciated that in the configuration of Fig. 5 B, do not process gas is fed to lower nozzle battery lead plate 301 interior zone 303 from lower process gas supply source 311.
In addition, in the configuration of Fig. 5 B, switch 509 is configured to make the internal electrical pole plate 505 of conduction to electrically disconnect with ground reference 512, thus makes the internal electrical pole plate 505 of conduction have floating potential.Like this, workpiece 109 does not have electric capacity to be coupled to ground reference 512 to prevent the lower nozzle battery lead plate 301 due to radio frequency powered from producing arc discharge or other less desirable phenomenons closer to configurable top electrode assembly 510 in gap 113.In addition, in the configuration of Fig. 5 B, operation exhaust apparatus 131 is to take process gas, Purge gas and plasma treatment byproduct material away from the outer region of workpiece 109, wherein plasma 513 is transported to exhaust outlet 133, as shown in arrow 139.
Fig. 5 C shows the modification being defined as the semiconductor processing system 500 of Fig. 5 A using remote plasma source 184 according to an embodiment of the invention.Remote plasma source 184 is defined for the reacted constituent producing plasma 302 in outside, room 101, and make the reacted constituent of plasma 302 flow to the interior zone 303 of lower nozzle battery lead plate 301 by conduit 180, as indicated by arrow 182, and the region finally reached below workpiece 109.
The byproduct of reaction material of process gas, Purge gas and plasma 302 is extracted out from room 101 by port one 33 by exhaust apparatus 131, as shown in arrow 139.In various embodiments, remote plasma source 184 is restricted to the reacted constituent using radio-frequency power, microwave power or their combination to produce plasma 302.In addition, in various embodiments, remote plasma source 184 is restricted to capacitively coupled plasma source also or inductively-coupled plasma sources.
In various embodiments, the radio-frequency power in the scope of about 1kW to about 10kW is used for producing plasma 302 in remote plasma source 184.In some embodiments, the radio-frequency power in the scope of about 5kW to about 8kW is used for producing plasma 302 in remote plasma source 184.In some embodiments, the radio-frequency power of frequency in the scope of about 2MHz to about 60MHz is used for producing plasma 302 in remote plasma source 184.In some embodiments, direct current (DC) power also can be applied on lower nozzle battery lead plate 301.In addition, in some embodiments, multifrequency radio-frequency power can at one time also or different time, such as, in a looping fashion, for producing plasma 302 in remote plasma source 184.
In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 0.1T to about 10T.In some embodiments, the pressure of the process gas in remote plasma source 184 is controlled in the scope of about 1T to about 10T.In some embodiments, process gas and be fed to the flow velocity of remote plasma source 184 in the scope of about 0.1slm to about 5slm.In some embodiments, process gas and be fed to the flow velocity of remote plasma source 184 in the scope of about 1slm to about 5slm.
Fig. 6 shows the flow chart for carrying out the method for plasma cleaning to the bottom surface of workpiece according to an embodiment of the invention.Described method comprises the operation 601 for being positioned at the bottom surface of workpiece on dielectric supports body, this dielectric supports body 601 be defined as with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of dielectric upper plate of lower electrode plate, and electric pole plate is positioned to the upper surface of contiguous dielectric upper plate.Lower electrode plate is connected with received RF power.Electric pole plate is electrically connected to ground reference.Described method also comprises operation 603, to locate the narrow gap, lower surface interval that dielectric supports body makes the end face of workpiece and dielectric upper plate, and makes to there is open area between the bottom surface and the upper surface of lower electrode plate of workpiece.
Described method also comprises operation 605, and the central position in the narrow gap flowing between the end face of workpiece and the lower surface of dielectric upper plate to make Purge gas, makes Purge gas flow through narrow gap away from the periphery towards workpiece on the direction of middle position.Described method also comprises operation 607, and to make process gas flow to the outer region of the workpiece be positioned at outside narrow gap, process gases flows in the region between the bottom surface of workpiece and the upper surface of lower electrode plate from here.Should be appreciated that Purge gas is away from the direction of middle position preventing process gas to flow in narrow gap by narrow gap towards the air-flow of the periphery of workpiece and preventing process gas from flowing through workpiece end face.
Described method also comprises operation 609, to supply radio-frequency power to lower electrode plate, to make process gas change into plasma in the outer region around workpiece and the region between the bottom surface at workpiece and the upper surface of lower electrode plate.Described method also comprises the operation of the region Exhaust Gas above the upper surface of lower electrode plate, to remove plasma-etching byproduct material from workpiece.
In an execution mode of described method, dielectric supports body is restricted to dielectric lifter pin in groups, dielectric lifter pin in groups extends through lower electrode plate, thus with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of dielectric upper plate of lower electrode plate.In this embodiment, by towards the lower surface of dielectric upper plate the dielectric lifter pin moved in groups implement in operation 603, locate the narrow gap, lower surface interval that dielectric supports body makes the end face of workpiece and dielectric upper plate.
In another execution mode of described method, dielectric supports body is restricted to the dielectric edge ring with annular shape, and its upper surface is defined as to contact and supports the outer region of workpiece bottom.Dielectric edge ring comprises air vent hole, in the region that this air vent hole is defined as to allow process gas to flow between the bottom surface of workpiece and the upper surface of lower electrode plate and the region Exhaust Gas be allowed for above the upper surface of lower electrode plate.
Fig. 7 shows the flow chart for carrying out the method for plasma cleaning to the bottom surface of workpiece according to an embodiment of the invention.Described method comprises operation 701, with by Workpiece fixing on the dielectric edge ring with annular shape, the upper surface of this dielectric edge ring is defined as to contact and supports the outer region of workpiece bottom.Dielectric edge ring be defined as with the mode of electric insulation by workpiece support in the region between the upper surface and the lower surface of the first upper plate of lower nozzle battery lead plate.Second upper plate is positioned to the upper surface of contiguous first upper plate.Lower nozzle battery lead plate is connected with received RF power.Second upper plate is electrically connected to ground reference.
Described method also comprises operation 703, to locate the narrow gap, lower surface interval that dielectric edge ring makes the end face of workpiece and the first upper plate, and make to there is open area between the bottom surface of the workpiece in dielectric edge ring and the upper surface of lower nozzle battery lead plate.Described method also comprises operation 705, to make Purge gas flow to central position in narrow gap, makes Purge gas flow through narrow gap away from the periphery towards workpiece on the direction of middle position.Described method also comprises operation 707, with the interior zone making process gas flow to lower nozzle battery lead plate.
Described method also comprises operation 709, to supply radio-frequency power to lower nozzle battery lead plate, to make process gas change into plasma in the interior zone of lower nozzle battery lead plate, the reacted constituent of plasma is flowed into the open area between the bottom surface of the workpiece inside dielectric edge ring and the upper surface of lower nozzle battery lead plate from the interior zone of lower nozzle battery lead plate by blow vent thus.Described method can also comprise for the open area between the bottom surface and the upper surface of lower nozzle battery lead plate of the workpiece inside dielectric edge ring by being limited at the operation of the blow vent Exhaust Gas in dielectric edge ring.
Fig. 8 shows the flow chart of the method for carrying out hypotenuse semiconductor clean and dorsal part clean in common (that is, single) plasma process system to workpiece according to an embodiment of the invention.Described method comprises operation 801, and wherein carry out hypotenuse semiconductor clean to workpiece, the bottom surface of workpiece is located immediately on the bottom electrode of radio frequency powered, and the narrow gap of Purge gas air-flow is arranged on the top face of workpiece.In operation 801, upper structural elements is arranged on above workpiece to form the narrow gap allowing Purge gas flow through in workpiece top face.In the execution mode of an example, use the hypotenuse plasma cleaning process of the capacitive coupled plasma executable operations 801 produced by the radio-frequency power of 13.56MHz.But, should be appreciated that in other embodiments, use the radio-frequency power of other frequencies, power and duty ratio and use any suitable process gas can carry out the process of hypotenuse plasma cleaning.
After completing the process of hypotenuse plasma cleaning in operation 801, carry out operation 803, wherein workpiece rises to above bottom electrode and forms plasma treatment volumetric spaces with the subjacent at workpiece.In addition, in operation 803, maintain the narrow gap being used for Purge gas flowing in workpiece top face.In one embodiment, example dielectric lifter pin as described above with regard to fig. 1 makes workpiece rise to above bottom electrode.In one embodiment, the dielectric edge ring of example band blow vent as described with reference to Figure 2 A makes workpiece rise to above bottom electrode.
Method continues operation 805, with the plasma treatment volume below the reacted constituent of supplying plasma to workpiece bottom to realize the plasma cleaning of workpiece bottom.In one embodiment, operation 805 comprises and uses the plasma of long-range generation to produce the reacted constituent of plasma, and carries the plasma treatment volumetric spaces below the reacted constituent of plasma to workpiece bottom.In another embodiment, process gas flows to the plasma treatment volumetric spaces below workpiece bottom, and radiofrequency supplier power is to make process gas change into plasma in the plasma treatment volumetric spaces below workpiece bottom.In arbitrary execution mode, the reacted constituent of the plasma existed in the plasma treatment volumetric spaces below workpiece bottom allows with aimed thin film or material interreaction and is removed its bottom surface from workpiece.In addition, during operation 805, the air-flow maintaining Purge gas in workpiece top face with prevent the reacted constituent of plasma or any other byproduct material to contact with the end face of workpiece and with its interreaction.
Should be appreciated that various semiconductor processing system disclosed herein is provided at individual tool, that is, carry out the process of hypotenuse plasma cleaning and the process of dorsal part plasma cleaning in single chamber.In addition, should be appreciated that dorsal part plasma cleaning disclosed herein process is specially adapted to remove from the bottom surface of workpiece carbon, the photoresist polymer relevant with carbon with other, because these materials are difficult to removing in the wet clean processes of alternative form.In addition, should be appreciated that the cleaner production amount that the dorsal part plasma cleaning process discussed can provide higher than the wet clean processes of alternative form herein, because use plasma can obtain higher etch-rate in the process of dorsal part plasma cleaning.
Although just several execution mode describes the present invention, will be appreciated that those skilled in the art will appreciate that multiple alternative form, set up, exchange and equivalents after reading aforementioned specification and research accompanying drawing.Therefore, the object of the invention is to comprise all these alternative forms fallen in true spirit of the present invention and scope, set up, exchange and equivalents.

Claims (20)

1. a semiconductor processing system, it comprises:
Lower electrode plate;
Radio-frequency power supply, described radio-frequency power supply is connected to supply radio-frequency power to described lower electrode plate;
Dielectric upper plate, it is positioned to be parallel to described lower electrode plate and spaced away;
Electric pole plate, it is positioned to contiguous described dielectric upper plate, and make described dielectric upper plate between described lower electrode plate and described electric pole plate, described electric pole plate is electrically connected to ground reference;
Dielectric supports body, it is restricted in the region of workpiece support between described lower electrode plate and described dielectric upper plate in the mode of electric insulation;
Purge gas service duct, its be formed as supply Purge gas between described lower electrode plate and described dielectric upper plate in the region of the central position of described dielectric upper plate; And
Process gas service duct, its be formed as supplying process gas between described lower electrode plate and described dielectric upper plate in the region of the periphery of described dielectric upper plate,
Wherein said dielectric supports body is defined as when described workpiece is present on described dielectric supports body, described Workpiece fixing become to be in vicinity and be arranged essentially parallel to the position of described dielectric upper plate, make described Purge gas between described dielectric upper plate and the end face of described workpiece, flow through the end face of described workpiece from described Purge gas service duct, to prevent described process gas from flowing through the end face of described workpiece, and make described process gas around the outer rim of described workpiece and below described workpiece, flow into described lower electrode plate and described workpiece bottom surface between region in.
2. semiconductor processing system according to claim 1, wherein said Purge gas service duct is formed through described electric pole plate and described dielectric upper plate, and wherein said Purge gas service duct fluid connects the Purge gas source of supply comprising inert gas.
3. semiconductor processing system according to claim 1, wherein said process gas service duct is formed through described electric pole plate and comprises the open area between described electric pole plate and described dielectric upper plate, and wherein said process gas service duct fluid connects the process gas supply source comprising described process gas, and described process gas is defined as to change into plasma when being exposed to described radio-frequency power.
4. semiconductor processing system according to claim 1, wherein said dielectric supports body is restricted to dielectric lifter pin in groups, this dielectric lifter pin in groups extends through described lower electrode plate, thus in the mode of electric insulation by the described region of described workpiece support between described lower electrode plate and described dielectric upper plate.
5. semiconductor processing system according to claim 4, wherein said dielectric lifter pin is in groups defined as to extend in a controlled manner in the described region between described lower electrode plate and described dielectric upper plate, controls the distance between the end face of described workpiece and described dielectric upper plate with the described workpiece of box lunch time on dielectric lifter pin in groups described in being present in.
6. semiconductor processing system according to claim 1, wherein said dielectric supports body is restricted to the dielectric edge ring with toroidal, and the upper surface of described dielectric edge ring is defined as to contact and supports the outer region of the bottom surface of described workpiece.
7. semiconductor processing system according to claim 6, wherein said dielectric edge ring comprises blow vent, the region between the bottom surface that described blow vent is defined as to allow when described workpiece is present on described dielectric edge ring the described process gas flowed out from described process gas service duct to flow to described lower electrode plate and described workpiece.
8. semiconductor processing system according to claim 7, wherein said dielectric edge ring is defined as to extend in a controlled manner in the described region between described lower electrode plate and described dielectric upper plate, controls the distance between the end face of described workpiece and described dielectric upper plate when being present on described dielectric edge ring with the described workpiece of box lunch.
9., for carrying out a method for plasma cleaning to the outer region of workpiece and bottom surface, it comprises:
The bottom surface of described workpiece is positioned on described dielectric supports body, described dielectric supports body be defined as with the mode of electric insulation by described workpiece support in the region between the upper surface and the lower surface of dielectric upper plate of lower electrode plate, and electric pole plate is positioned to the upper surface of contiguous described dielectric upper plate, described lower electrode plate is connected with received RF power, and described electric pole plate is electrically connected to ground reference;
Locate the narrow gap, lower surface interval that described dielectric supports body makes the end face of described workpiece and described dielectric upper plate, and make to there is open area between the bottom surface of described workpiece and the upper surface of described lower electrode plate;
Central position in the described narrow gap making Purge gas flow between the end face of described workpiece and the lower surface of described dielectric upper plate, makes described Purge gas flow through described narrow gap towards the periphery of described workpiece on the direction away from described middle position;
Make process gas flow to the outer region be positioned at outside described narrow gap of described workpiece, described process gas flows in the described region between the bottom surface of described workpiece and the upper surface of described lower electrode plate thus; And
Radiofrequency supplier power to described lower electrode plate, to make described process gas change into plasma around in the outer region of described workpiece and the region between the bottom surface of described workpiece and the upper surface of described lower electrode plate.
10. the method for carrying out plasma cleaning to the outer region of workpiece and bottom surface according to claim 9, wherein said Purge gas prevents described process gas to flow in described narrow gap along the direction away from described middle position towards the periphery of described workpiece by the air-flow in described narrow gap and flows through described workpiece end face.
11. methods for carrying out plasma cleaning to the outer region of workpiece and bottom surface according to claim 10, it comprises further:
Region Exhaust Gas above the upper surface of described lower electrode plate, to remove plasma-etching byproduct material from described workpiece.
12. methods for carrying out plasma cleaning to the outer region of workpiece and bottom surface according to claim 11, wherein said dielectric supports body is restricted to dielectric lifter pin in groups, this dielectric lifter pin in groups extends through described lower electrode plate, thus with the mode of electric insulation by described workpiece support in the region between the upper surface and the lower surface of described dielectric upper plate of described lower electrode plate.
13. methods for carrying out plasma cleaning to the outer region of workpiece and bottom surface according to claim 12, wherein implement to locate described dielectric supports body by dielectric lifter pin in groups described in moving towards the lower surface of described dielectric upper plate, narrow gap described in the lower surface interval making the end face of described workpiece and described dielectric upper plate.
14. methods for carrying out plasma cleaning to the outer region of workpiece and bottom surface according to claim 11, wherein said dielectric supports body is restricted to the dielectric edge ring with toroidal, the upper surface of described dielectric edge ring is defined as to contact and supports the outer region of the bottom surface of described workpiece, wherein said dielectric edge ring comprises air vent hole, allow the region Exhaust Gas above the upper surface of described lower electrode plate in the region that described air vent hole is defined as to allow described process gas to flow between the bottom surface of described workpiece and the upper surface of described lower electrode plate.
15. 1 kinds of semiconductor processing systems, it comprises:
Lower nozzle battery lead plate, it has the interior zone for making process gas change into plasma, and described lower nozzle battery lead plate has the multiple blow vents extending to described interior zone from the upper surface of described lower nozzle plate;
Process gas service duct, it is formed as supplying the interior zone of described process gas to described lower nozzle battery lead plate;
Radio-frequency power supply, it is connected to supply radio-frequency power to described lower nozzle battery lead plate to make described process gas change into described plasma in the interior zone of described lower nozzle battery lead plate;
First upper plate, it is positioned to be parallel to described lower nozzle battery lead plate and spaced away;
Second upper plate, it is positioned to contiguous described first upper plate, and make described first upper plate between described lower nozzle battery lead plate and described second upper plate, described second upper plate is electrically connected to ground reference;
There is the dielectric edge ring of annular shape, the upper surface of this dielectric edge ring is defined as to contact and supports the outer region of the bottom surface of workpiece, and with the mode of electric insulation by described workpiece support in the region between the upper surface and the lower surface of described first upper plate of described lower nozzle battery lead plate; And
Purge gas service duct, it is formed as in the region of the central position of described first upper plate between supply Purge gas to the upper surface and the lower surface of described first upper plate of described lower nozzle battery lead plate,
Wherein said dielectric edge ring is defined as when described workpiece is present on described dielectric edge ring, described Workpiece fixing become contiguous and be arranged essentially parallel to described first upper plate, described Purge gas is made between the lower surface and the end face of described workpiece of described first upper plate, to flow through the end face of described workpiece from described Purge gas service duct, to prevent the reacted constituent of described plasma from arriving the end face of described workpiece.
16. semiconductor processing systems according to claim 15, wherein said first upper plate is formed by dielectric substance.
17. semiconductor processing systems according to claim 15, wherein said first upper plate is formed by electric conducting material.
18. semiconductor processing systems according to claim 15, wherein said dielectric edge ring comprises blow vent, and described blow vent is defined as to allow the region Exhaust Gas of the top of the upper surface from the below of the bottom surface of described workpiece and described lower nozzle plate.
19. 1 kinds for carrying out the method for plasma cleaning to the bottom surface of workpiece, it comprises:
By described Workpiece fixing on the dielectric edge ring with annular shape, the upper surface of described dielectric edge ring is defined as to contact and supports the outer region of the bottom surface of described workpiece, and described dielectric edge ring be defined as with the mode of electric insulation by described workpiece support in the region between the upper surface and the lower surface of the first upper plate of lower nozzle battery lead plate, and the second upper plate is positioned to the upper surface of contiguous described first upper plate, described lower nozzle battery lead plate is connected with received RF power, and described second upper plate is electrically connected to ground reference;
Locate the narrow gap, lower surface interval that described dielectric edge ring makes the end face of described workpiece and described first upper plate, and make in the bottom surface in described dielectric edge ring of described workpiece and there is open area between the upper surface of described lower nozzle battery lead plate;
Make Purge gas flow to central position in described narrow gap, make described Purge gas flow through described narrow gap along the direction away from described middle position towards the periphery of described workpiece;
Process gas is made to flow to the interior zone of described lower nozzle battery lead plate; And
Radiofrequency supplier power is to described lower nozzle battery lead plate, to make described process gas change into plasma in the interior zone of described lower nozzle battery lead plate, the reacted constituent of described plasma is flowed into the described open area between the bottom surface inside described dielectric edge ring of described workpiece and the upper surface of described lower nozzle battery lead plate from the interior zone of described lower nozzle battery lead plate by blow vent thus.
20. methods for carrying out plasma cleaning to the bottom surface of workpiece according to claim 19, it comprises further:
Gas is discharged from the bottom surface inside the described dielectric edge ring of described workpiece and the open area between the upper surface of described lower nozzle battery lead plate by the blow vent be limited at described dielectric edge ring.
CN201410345448.7A 2013-07-19 2014-07-18 Systems and methods for in-situ wafer edge and backside plasma cleaning Pending CN104299929A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710589859.4A CN107516626B (en) 2013-07-19 2014-07-18 System and method for in-situ wafer edge and backside plasma cleaning

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361856613P 2013-07-19 2013-07-19
US61/856,613 2013-07-19
US14/032,165 US20150020848A1 (en) 2013-07-19 2013-09-19 Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US14/032,165 2013-09-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710589859.4A Division CN107516626B (en) 2013-07-19 2014-07-18 System and method for in-situ wafer edge and backside plasma cleaning

Publications (1)

Publication Number Publication Date
CN104299929A true CN104299929A (en) 2015-01-21

Family

ID=52319600

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410345448.7A Pending CN104299929A (en) 2013-07-19 2014-07-18 Systems and methods for in-situ wafer edge and backside plasma cleaning
CN201710589859.4A Active CN107516626B (en) 2013-07-19 2014-07-18 System and method for in-situ wafer edge and backside plasma cleaning

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710589859.4A Active CN107516626B (en) 2013-07-19 2014-07-18 System and method for in-situ wafer edge and backside plasma cleaning

Country Status (1)

Country Link
CN (2) CN104299929A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107240541A (en) * 2016-03-29 2017-10-10 朗姆研究公司 System and method for performing edge ring sign
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN110797251A (en) * 2018-08-02 2020-02-14 Asm Ip控股有限公司 Substrate processing apparatus and substrate processing method
CN111052344A (en) * 2018-08-13 2020-04-21 朗姆研究公司 Replaceable and/or collapsible edge ring assembly incorporating edge ring positioning and centering functions for plasma sheath adjustment
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US12027410B2 (en) 2015-01-16 2024-07-02 Lam Research Corporation Edge ring arrangement with moveable edge rings

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114200778A (en) * 2021-06-25 2022-03-18 四川大学 Plasma in-situ cleaning structure of LPP light source collecting mirror of extreme ultraviolet lithography machine

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
US6863020B2 (en) * 2000-01-10 2005-03-08 Tokyo Electron Limited Segmented electrode apparatus for plasma processing
CN1779924A (en) * 2004-09-03 2006-05-31 兰姆研究有限公司 Wafer bevel polymer removal
US20110024399A1 (en) * 2008-04-07 2011-02-03 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
CN101689492B (en) * 2007-07-12 2012-04-04 应用材料公司 Apparatus and method for processing a substrate edge region
KR20100049599A (en) * 2007-07-17 2010-05-12 어플라이드 머티어리얼스, 인코포레이티드 Clean rate improvement by pressure controlled remote plasma source

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6863020B2 (en) * 2000-01-10 2005-03-08 Tokyo Electron Limited Segmented electrode apparatus for plasma processing
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
CN1779924A (en) * 2004-09-03 2006-05-31 兰姆研究有限公司 Wafer bevel polymer removal
US20110024399A1 (en) * 2008-04-07 2011-02-03 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12027410B2 (en) 2015-01-16 2024-07-02 Lam Research Corporation Edge ring arrangement with moveable edge rings
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US11342163B2 (en) 2016-02-12 2022-05-24 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
CN107240541A (en) * 2016-03-29 2017-10-10 朗姆研究公司 System and method for performing edge ring sign
CN107240541B (en) * 2016-03-29 2019-10-15 朗姆研究公司 System and method for executing edge ring characterization
US11424103B2 (en) 2016-08-19 2022-08-23 Lam Research Corporation Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN110797251A (en) * 2018-08-02 2020-02-14 Asm Ip控股有限公司 Substrate processing apparatus and substrate processing method
CN111052344A (en) * 2018-08-13 2020-04-21 朗姆研究公司 Replaceable and/or collapsible edge ring assembly incorporating edge ring positioning and centering functions for plasma sheath adjustment
US11798789B2 (en) 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
CN111052344B (en) * 2018-08-13 2024-04-02 朗姆研究公司 Edge ring assembly

Also Published As

Publication number Publication date
CN107516626A (en) 2017-12-26
CN107516626B (en) 2021-03-26

Similar Documents

Publication Publication Date Title
CN104299929A (en) Systems and methods for in-situ wafer edge and backside plasma cleaning
KR102600227B1 (en) Systems and methods for in-situ wafer edge and backside plasma cleaning
KR102458699B1 (en) Substrate support with improved process uniformity
CN106098527B (en) Dual channel showerhead for forming a film stack
US8444926B2 (en) Processing chamber with heated chamber liner
KR102454532B1 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102521717B1 (en) Helium plug design to reduce arcing
CN103890928A (en) Electrostatic chuck
KR102449747B1 (en) Dual-zone heater for plasma processing
CN108352297B (en) Combined cover ring
US11846011B2 (en) Lid stack for high frequency processing
KR102343265B1 (en) Self-centering pedestal heater
US20180053628A1 (en) Separation Grid for Plasma Chamber
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
KR102088596B1 (en) Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
WO2021021537A1 (en) Methods and apparatus for dual channel showerheads
US12016092B2 (en) Gas distribution ceramic heater for deposition chamber
US20220199373A1 (en) Methods to eliminate of deposition on wafer bevel and backside
TW202342806A (en) Showerhead assembly with heated showerhead
TW202403859A (en) Uniform in situ cleaning and deposition
CN114830318A (en) Asymmetric under-wafer-plane cleaning block for managing non-uniformities

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150121