CN103677837A - Fpga动态部分可重构区域的配置方法 - Google Patents

Fpga动态部分可重构区域的配置方法 Download PDF

Info

Publication number
CN103677837A
CN103677837A CN201310693431.6A CN201310693431A CN103677837A CN 103677837 A CN103677837 A CN 103677837A CN 201310693431 A CN201310693431 A CN 201310693431A CN 103677837 A CN103677837 A CN 103677837A
Authority
CN
China
Prior art keywords
candidate
area
common factor
reconstruct
node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310693431.6A
Other languages
English (en)
Inventor
马昱春
何瑞宁
张超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CN201310693431.6A priority Critical patent/CN103677837A/zh
Publication of CN103677837A publication Critical patent/CN103677837A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Stored Programmes (AREA)

Abstract

本发明提出一种FPGA动态部分可重构区域的配置方法,包括以下步骤:根据多个功能模块的运行信息生成执行表;根据执行表生成多个功能模块的互斥图;根据互斥图生成交集图;计算多个交集图节点的权值,并将满足非并发约束的交集节点组合成多组候选重构集;计算每组候选重构集的权值之和以及占用面积与未在候选重构集中的功能模块的占用面积之和;将权值之和最大且占用面积小于调度区间总面积的候选重构集所对应的功能模块配置到FPGA动态部分可重构区域。根据本发明实施例的方法,通过计算交集图节点的权值最优且占用面积满足条件的功能模块配置到重构区域,可有效地利用片上的资源,降低重构时延,提高可重构系统的处理速度和效率。

Description

FPGA动态部分可重构区域的配置方法
技术领域
本发明涉及现场可编程门阵列技术领域,特别涉及一种FPGA动态部分可重构区域的配置方法。
背景技术
现场可编程门阵列(Field Programmable Gate Array,FPGA)技术具有现场编程(On-siteProgramming)和可重编程(Re-programming)的灵活性,它通过加载完整的配置文件实现对芯片整体功能的重构,使得在保证芯片运行速度的基础上避免了旧芯片的浪费。
当前主流动态重构设计已完成了逻辑综合,并且随着物理网表文件的生成,系统模块的详细调度也可相应的确定。由于动态模块是以分时的形式共享重构区域,使共享动态区域的几个动态模块在运行时间上不能有重叠。如果产生重叠,一旦系统运行到需要分时共享一个动态区域的多个动态模块同时运行的时候,必然存在不能被及时装入芯片的动态模块,系统也就不能够正确地执行下去。另外,也有部分学者提出将同时完成划分、调度以及任务在可重构区域上的线性(Linear)布局。这种方式是在每个任务需要占据若干片上完整的资源列的条件下成立,然而随着动态部分可重构技术的发展已不满足该条件。
发明内容
本发明的目的旨在至少解决上述的技术缺陷之一。
为此,本发明需要提供一种FPGA动态部分可重构区域的配置方法。该配置方法可以解决功能模块在时间片上的不能叠加且数据处理速度和效率低的问题。
有鉴于此,本发明的实施例提出一种FPGA动态部分可重构区域的配置方法,包括以下步骤:执行表生成步骤,根据调度区间中多个功能模块的运行信息,生成表示所述调度区间的每个时间片上的功能模块运行状态的执行表;互斥图生成步骤,根据所述执行表生成所述多个功能模块的互斥图,所述互斥图描述所述多个功能模块在时间上的非并发关系;交集图生成步骤,根据所述多个功能模块在时间上的非并发关系,选取满足非并发约束的多个功能模块的集合作为交集图节点,生成交集图;候选重构集组合步骤,计算多个交集图节点的权值,并将所述多个交集图节点中满足非并发约束的交集节点组合成多组候选重构集;权值计算步骤,计算每组所述候选重构集的权值之和;面积计算步骤,计算每组所述候选重构集的占用面积与未在候选重构集中的功能模块的占用面积之和;可重构模块确定步骤,按照所述权值之和最大且所述占用面积小于所述调度区间总面积的方式确定候选重构集,将对应的功能模块配置到所述FPGA动态部分可重构区域。
根据本发明实施例的方法,通过计算交集图节点的重构权值最大且占用面积满足条件的功能模块配置到重构区域,可有效地利用片上的资源,降低重构时延,提高可重构系统的处理速度和效率。
在本发明的一个实施例中,所述交集图生成步骤中,所选取的满足非并发约束的多个功能模块的集合中,如果其中包含的多个功能模块之间的资源请求差异超过了预设的阈值,则不选取为交集图节点。
在本发明的一个实施例中,所述候选重构集组合步骤具体为,在所述多个交集图节点中选取满足所述非并发约束的至少两个交集图节点构成一组候选重构集,通过对所述多个交集图节点中满足所述非并发约束的所有交集图节点进行组合得到所述多组候选重构集。
在本发明的一个实施例中,所述权值计算步骤具体包括:计算所述每组候选重构集中所述交集图节点的配置帧数量;根据所述配置帧数量和配置端口的最大带宽分别得到所述每组候选重构集中对应交集图节点的权值;以及将对应候选重构集中的多个交集图节点的权值相加得到所述每组候选重构集的权值之和。
在本发明的一个实施例中,所述配置帧数量通过如下公式获得,所述公式为,
Figure BDA0000439803540000021
其中,cfi为交集图中节点i(也是候选重构集中的节点i)的配置帧数量,num_types为该节点中对应的功能模块所用的资源种类数目,areak为资源k对应的面积,max_demandk为在该功能模块中用到资源k的最大数目,area_RF为每个可重构配置帧的面积,uk表示第k种资源构成的一个RF所对应的CF的个数。在本发明的一个实施例中,所述每个交集图节点的权值通过如下公式获得,所述公式为,RD_PRRj=cfj×Wf/Rs,其中,RD_PRRj为交集图中节点i的权值,cfj为交集图中节点i的配置帧数量,Wf为每个配置帧的大小,Rs为配置端口的最大带宽。
在本发明的一个实施例中,所述候选重构集对应方案的占用面积通过如下公式获得,所述公式为, area _ total = μ × Σ j area _ SM j + area PRR , 其中,area_total为所述候选重构集对应方案占用芯片的面积,μ为放大因子,area_SMj为每个静态模块的占用面积,areaPRR为所有动态模块的占用面积之和。
本发明附加的方面和优点将在下面的描述中部分给出,部分将从下面的描述中变得明显,或通过本发明的实践了解到。
附图说明
本发明上述的和/或附加的方面和优点从下面结合附图对实施例的描述中将变得明显和容易理解,其中:
图1为根据本发明一个实施例的FPGA动态部分可重构区域的配置方法的流程图;
图2为根据本发明一个实施例的调度区间图;
图3为根据本发明一个实施例的执行表;
图4为根据本发明一个实施例的互斥图;
图5为根据本发明一个实施例的交集图;
图6为根据本发明一个实施例的候选重构集;
图7为根据本发明一个实施例的面积计算的示意图;以及
图8为根据本发明一个实施例的方法与硬件相结合的设计流程图。
具体实施方式
下面详细描述本发明的实施例,实施例的示例在附图中示出,其中自始至终相同或类似的标号表示相同或类似的元件或具有相同或类似功能的元件。下面通过参考附图描述的实施例是示例性的,仅用于解释本发明,而不能理解为对本发明的限制。
在本发明的描述中,需要理解的是,术语“中心”、“纵向”、“横向”、“上”、“下”、“前”、“后”、“左”、“右”、“竖直”、“水平”、“顶”、“底”、“内”、“外”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本发明和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此不能理解为对本发明的限制。此外,术语“第一”、“第二”仅用于描述目的,而不能理解为指示或暗示相对重要性。
在本发明的描述中,需要说明的是,除非另有明确的规定和限定,术语“安装”、“相连”、“连接”应做广义理解,例如,可以是固定连接,也可以是可拆卸连接,或一体地连接;可以是机械连接,也可以是电连接;可以是直接相连,也可以通过中间媒介间接相连,可以是两个元件内部的连通。对于本领域的普通技术人员而言,可以具体情况理解上述术语在本发明中的具体含义。
图1为根据本发明一个实施例的FPGA动态部分可重构区域的配置方法的流程图。如图1所示,根据本发明实施例的FPGA动态部分可重构区域的配置方法包括以下步骤:根据调度区间中多个功能模块的运行信息,生成表示调度区间的每个时间片上的功能模块运行状态的执行表(步骤101)。根据执行表生成多个功能模块的互斥图,互斥图描述多个功能模块在时间上的非并发关系(步骤102)。根据多个功能模块在时间上的非并发关系,选取满足非并发约束的多个功能模块的集合作为交集图节点,生成交集图(步骤103)。计算多个交集图节点的权值,并将多个交集图节点中满足非并发约束的交集节点组合成多组候选重构集(步骤104)。计算每组候选重构集的权值之和(步骤105)。计算每组候选重构集的占用面积与未在候选重构集中的功能模块的占用面积之和(步骤106)。按照权值之和最大且占用面积小于调度区间总面积的方式确定候选重构集,将对应的功能模块配置到FPGA动态部分可重构区域(步骤107)。
根据本发明实施例的方法,通过计算交集图节点的重构权值最大且占用面积满足条件的功能模块配置到重构区域,可有效地利用片上的资源,降低重构时延,提高可重构系统的处理速度和效率。
下面将结合图2-8对本发明进行详细说明。
在步骤101中,根据任务数据、模块信息,以及描述硬件模块调度的调度区间图等运行信息统计调度区间图上每个分片处于活动状态的所有模块生成每个时间片上的功能模块运行状态的执行表。该执行表的第一列为时间分片,第二列为在该时间分片内运行的模块。
在步骤102中,将每个模块为一个结点。如果两个模块出现在执行表的同一行,则把对应的两个结点连接。通过对每个时间片上的对应模块均采用该方式进行相连,以生成互斥图。该互斥图可以表示调度区间内的模块在时间片上的并发关系,即如果两个模块有连线,则表示该两个模块同时发生。
在步骤103中,所选取的满足非并发约束的多个功能模块的集合中,如果其中包含的多个功能模块之间的资源请求差异超过了预设的阈值例如三分之二,则不选取为交集图节点。将剩余的多个模块的每个集合作为交集图节点。如果两个交集图节点之间有交集,则用一条线将该两个交集图节点相连。通过对多个模块的集合进行相应处理可生成交集图。
在步骤104中,在多个交集图节点中选取满足非并发约束的至少两个交集图节点构成一组候选重构集,通过对多个交集图节点中满足非并发约束的所有交集图节点进行组合得到多组候选重构集。
在步骤105中,计算每组候选重构集中交集图节点的配置帧数量。该配置帧数量通过如下公式获得,公式为, cf i = Σ k = 1 num _ types ( area k × max _ demand k area _ RF × u k ) -公式1,其中,cfi为交集图中节点i(也是候选重构集中的节点i)的配置帧数量,num_types为该节点中对应的功能模块所用的资源种类数目,areak为资源k对应的面积,max_demandk为在该功能模块中用到资源k的最大数目,area_RF为每个可重构配置帧的面积,其大小依赖于具体的芯片型号,uk表示第k种资源构成的一个RF所对应的CF的个数,其数值也由具体的FPGA芯片型号确定。然后,根据配置帧数量和配置端口的最大带宽分别得到每组候选重构集中对应交集图节点的权值。每个交集图节点的权值通过如下公式获得,公式为,RD_PRRj=cfj×Wf/Rs-公式2,其中,RD_PRRj为交集图中节点i的权值,cfj为交集图中节点i的配置帧数量,Wf为每个配置帧的大小,Rs为配置端口的最大带宽。计算RD_PRRj,即切换点j对应的重构区域完成一次切换所需的时延。Xilinx根据配置端口的最大带宽和重构包含的配置帧(Configuration Frame,CF)数来估算重构时延,对时延取反即为权值。之后,将对应候选重构集中的多个交集图节点的权值相加得到每组候选重构集的权值之和。
在步骤106中,首先计算动态模块占用的面积之和,通过如下公式获得,
Figure BDA0000439803540000051
其中,μ为放大因子,通过放大因子μ弥补由于芯片上资源分布的不均匀所带来的面积低估,area_PRRj为候选重构集中节点j对应的占用面积。
将候选重构集所对应的功能模块的占用面积和静态模块的占用面积相加可得到整个调度区域的总面积,该总面积通过如下公式获得,
Figure BDA0000439803540000052
-公式4,其中,area_total为候选重构集对应的方案占用芯片的面积,μ为放大因子,area_SMj为每个静态模块的占用面积,areaPRR为所有动态模块的占用面积之和。
由于静态模块和功能模块都要占用调度区域的面积因此需要满足如下关系,area_total≤area_FPGA,即静态模块和功能模块的占用总面积要小于该调度区域的总面积。
在步骤107中,通过步骤105和步骤106的方式得到每组候选重构集的权值之和和占用面积之和。并将权值之和最大且占用面积小于调度区域总面积的候选重构集的功能模块配置到FPGA动态部分可重构区域。
实施例1
通过如图2所示的调度区间图和任务信息等运行信息所获得的执行表如图3所示。图3的时间分片1中,模块A、C、E都在执行,则得到表的第一行。依此类推得到执行表。对于执行表的每一行,在互斥图上完成活动模块间的两两连接生成互斥图。例如根据执行表的第一行,模块A、C、E同时执行,说明它们不满足非并发约束,也就是说不能进行重构,于是在结点A、C、E之间互相连线。以此类推,得到如图4所示的互斥图。对图4的互斥图中选取满足非并发约束的多个功能模块的集合可以得到包括{A,B},{B,C},{C,D}三个交集节点的交集图,该交集图如图5所示。
去除其中包含的多个功能模块之间的资源请求差异超过了预设的阈值例如三分之二的剩余交集图节点生成交集图,比如{A,B}对应结点1,{B,C}对应结点2,{C,D}对应结点3。边则表示其连接的两个独立集之间含有交集,比如结点1和结点2有交集{B},则在两个结点之间连一条边得到候选重构集如图6所示。
通过将动态区域PR Region PRRj内的资源的数量和对应的配置帧想成累加得到每个功能模块的配置帧。
假设动态区域1内包含功能模块A,B,其数据需求如下表1所示:
Figure BDA0000439803540000061
表1
通过公式1得到该动态区域需要的配置帧数目为12+2+4=18个。
假设需要18个配置帧,假设Wf为1000bits,Rs为1MB/s,则根据公式2得到延时(即权值)为0.018s。候选重构集1的权值为0.018。
通过表1和公式4得到每个动态区域中功能模块的占用面积。每个动态区域中功能模块的占用面积和权值如表2所示。
Figure BDA0000439803540000062
表2
从表2中可知,候选重构集1的权值为-0.018,选重构集1的权值为-0.024,候选重构集13的权值为-0.009。约束为选取的独立结点使用的面积加上静态模块的面积小于100,将寻找尽可能多的独立结点,使得权值之和最大。
在这里为结点1,3。候选重构集1包含模块A、B,候选重构集3包含模块C、D。因此将模块A、B放在动态区域1内,把模块C、D放在动态区域2内以完成功能模块的可重构。
图8为根据本发明一个实施例的方法与硬件相结合的设计流程图。如图8所示,给定一个C或C++实现的程序,本发明中使用高层次综合工具进行综合和分析,得到该程序对应的甘特图以及对应的硬件实现代码;通过工具(比如planahead等)对硬件实现代码进行综合和分析,得到程序中每个模块需要使用的资源、面积,并生成网表文件。甘特图和每个模块的资源需求作为我们的方法ISBA的输入,经过处理得到功能模块的划分和组合方案;根据该方案,以及工具生成的网表,进一步完成后续设计,流程和Xilinx提出的EAPR流程是一致的。
Figure BDA0000439803540000071
表3
通过上述图8的方法对多个功能模块进行综合得到如表3所示的每个模块的资源请求向量。对上述表3的数据对对应的功能模块通过上述方法进行配置后,通过PlanAhead验证的结果显示,本发明提供的方案在XC5VLX50T上消耗6408Slices和16DSPs。也就是说,通过采用节省资源、功耗和成本的动态部分可重构技术,GSM在XC5VLX50T上得到了实现(Implement)。
根据本发明实施例的方法,通过计算交集图节点的重构权值最大且占用面积满足条件的功能模块配置到重构区域,可有效地利用片上的资源,降低重构时延,提高可重构系统的处理速度和效率。
尽管上面已经示出和描述了本发明的实施例,可以理解的是,上述实施例是示例性的,不能理解为对本发明的限制,本领域的普通技术人员在不脱离本发明的原理和宗旨的情况下在本发明的范围内可以对上述实施例进行变化、修改、替换和变型。

Claims (7)

1.一种FPGA动态部分可重构区域的配置方法,其特征在于,包括以下步骤:
执行表生成步骤,根据调度区间中多个功能模块的运行信息,生成表示所述调度区间的每个时间片上的功能模块运行状态的执行表;
互斥图生成步骤,根据所述执行表生成所述多个功能模块的互斥图,所述互斥图描述所述多个功能模块在时间上的非并发关系;
交集图生成步骤,根据所述多个功能模块在时间上的非并发关系,选取满足非并发约束的多个功能模块的集合作为交集图节点,生成交集图;
候选重构集组合步骤,计算多个交集图节点的权值,并将所述多个交集图节点中满足非并发约束的交集节点组合成多组候选重构集;
权值计算步骤,计算每组所述候选重构集的权值之和;
面积计算步骤,计算每组所述候选重构集的占用面积与未在所述候选重构集中的功能模块的占用面积之和;
可重构模块确定步骤,按照所述权值之和最大且所述占用面积小于所述调度区间总面积的方式确定候选重构集,将对应的功能模块配置到所述FPGA动态部分可重构区域。
2.如权利要求1所述的FPGA动态部分可重构区域的配置方法,其特征在于,所述交集图生成步骤中,所选取的满足非并发约束的多个功能模块的集合中,如果其中包含的多个功能模块之间的资源请求差异超过了预设的阈值,则不选取为交集图节点。
3.如权利要求1所述的FPGA动态部分可重构区域的配置方法,所述候选重构集组合步骤具体为,
在所述多个交集图节点中选取满足所述非并发约束的至少两个交集图节点构成一组候选重构集,通过对所述多个交集图节点中满足所述非并发约束的所有交集图节点进行组合得到所述多组候选重构集。
4.如权利要求1所述的FPGA动态部分可重构区域的配置方法,其特征在于,所述权值计算步骤具体包括:
计算所述每组候选重构集中所述交集图节点的配置帧数量;
根据所述配置帧数量和配置端口的最大带宽分别得到所述每组候选重构集中对应交集图节点的权值;以及
将对应候选重构集中的多个交集图节点的权值相加得到所述每组候选重构集的权值之和。
5.如权利要求4所述的FPGA动态部分可重构区域的配置方法,其特征在于,所述配置帧数量通过如下公式获得,所述公式为,
cf i = Σ k = 1 num _ types ( area k × max _ demand k area _ RF × u k ) ,
其中,cfi为交集图中节点i(也是候选重构集中的节点i)的配置帧数量,num_types为该节点中对应的功能模块所用的资源种类数目,areak为资源k对应的面积,max_demandk为在该功能模块中用到资源k的最大数目,area_RF为每个可重构配置帧的面积,uk表示第k种资源构成的一个RF所对应的CF的个数。
6.如权利要求4所述的FPGA动态部分可重构区域的配置方法,其特征在于,所述每个交集图节点的权值通过如下公式获得,所述公式为,
RD_PRRj=cfj×Wf/Rs
其中,RD_PRRj为交集图中节点i的权值,cfj为交集图中节点i的配置帧数量,Wf为每个配置帧的大小,Rs为配置端口的最大带宽。
7.如权利要求1所述的FPGA动态部分可重构区域的配置方法,其特征在于,所述候选重构集对应方案的占用面积通过如下公式获得,所述公式为,
area _ total = μ × Σ j area _ SM j + area PRR ,
其中,area_total为所述候选重构集对应的方案的占用面积,μ为放大因子,area_SMj为每个静态模块的占用面积,areaPRR为所有动态模块的占用面积之和。
CN201310693431.6A 2013-12-17 2013-12-17 Fpga动态部分可重构区域的配置方法 Pending CN103677837A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310693431.6A CN103677837A (zh) 2013-12-17 2013-12-17 Fpga动态部分可重构区域的配置方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310693431.6A CN103677837A (zh) 2013-12-17 2013-12-17 Fpga动态部分可重构区域的配置方法

Publications (1)

Publication Number Publication Date
CN103677837A true CN103677837A (zh) 2014-03-26

Family

ID=50315504

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310693431.6A Pending CN103677837A (zh) 2013-12-17 2013-12-17 Fpga动态部分可重构区域的配置方法

Country Status (1)

Country Link
CN (1) CN103677837A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104598310A (zh) * 2015-01-23 2015-05-06 武汉理工大学 基于fpga部分动态可重构技术模块划分的低功耗调度方法
CN106776465A (zh) * 2015-10-05 2017-05-31 阿尔特拉公司 可编程逻辑器件虚拟化
CN107016692A (zh) * 2017-03-24 2017-08-04 南京航空航天大学 一种基于计算机视觉的运动阴影检测方法
CN108182168A (zh) * 2017-12-27 2018-06-19 电子科技大学 一种支持动态可重构的一体化数字信号处理系统
WO2018114957A1 (en) 2016-12-19 2018-06-28 Centre National De La Recherche Scientifique Parallel processing on demand using partially dynamically reconfigurable fpga
CN109445863A (zh) * 2018-11-01 2019-03-08 郑州云海信息技术有限公司 一种基于fpga的数据处理方法、装置、设备及介质
CN112180852A (zh) * 2020-09-04 2021-01-05 成都华微电子科技有限公司 基于时间约束的高层次综合调度方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
RUINING HE 等: "《IEEE/ACM International Conference on Computer-aided Design(ICCAD)》", 8 November 2012 *
RUINING HE: "《Reconfigurable Computing:Architectures,Tools and Applications》", 23 March 2012 *

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104598310B (zh) * 2015-01-23 2017-08-08 武汉理工大学 基于fpga部分动态可重构技术模块划分的低功耗调度方法
CN104598310A (zh) * 2015-01-23 2015-05-06 武汉理工大学 基于fpga部分动态可重构技术模块划分的低功耗调度方法
US11303279B2 (en) 2015-10-05 2022-04-12 Altera Corporation Programmable logic device virtualization
CN106776465A (zh) * 2015-10-05 2017-05-31 阿尔特拉公司 可编程逻辑器件虚拟化
US10686449B2 (en) 2015-10-05 2020-06-16 Altera Corporation Programmable logic device virtualization
US11804844B2 (en) 2015-10-05 2023-10-31 Intel Corporation Programmable logic device virtualization
WO2018114957A1 (en) 2016-12-19 2018-06-28 Centre National De La Recherche Scientifique Parallel processing on demand using partially dynamically reconfigurable fpga
CN107016692A (zh) * 2017-03-24 2017-08-04 南京航空航天大学 一种基于计算机视觉的运动阴影检测方法
CN107016692B (zh) * 2017-03-24 2019-09-27 南京航空航天大学 一种基于计算机视觉的运动阴影检测方法
CN108182168A (zh) * 2017-12-27 2018-06-19 电子科技大学 一种支持动态可重构的一体化数字信号处理系统
CN109445863A (zh) * 2018-11-01 2019-03-08 郑州云海信息技术有限公司 一种基于fpga的数据处理方法、装置、设备及介质
CN109445863B (zh) * 2018-11-01 2021-12-17 郑州云海信息技术有限公司 一种基于fpga的数据处理方法、装置、设备及介质
CN112180852B (zh) * 2020-09-04 2021-07-09 成都华微电子科技有限公司 基于时间约束的高层次综合调度方法
CN112180852A (zh) * 2020-09-04 2021-01-05 成都华微电子科技有限公司 基于时间约束的高层次综合调度方法

Similar Documents

Publication Publication Date Title
CN103677837A (zh) Fpga动态部分可重构区域的配置方法
Großmann et al. Solving periodic event scheduling problems with SAT
Liu et al. Integrated public transport timetable synchronization and vehicle scheduling with demand assignment: a bi-objective bi-level model using deficit function approach
US20200193323A1 (en) Method and system for hyperparameter and algorithm selection for mixed integer linear programming problems using representation learning
US7831943B1 (en) Checking for valid slice packing in a programmable device
CN105094698A (zh) 一种基于历史监测数据的磁盘容量预测方法
Ait-Alla et al. Simulated-based methodology for the interface configuration of cyber-physical production systems
CN105388876A (zh) 获得基于班组的间歇型化工生产工艺符合度的方法及装置
Akpınar et al. Simulation optimization for transportation system: A real case application
US20140163933A1 (en) Manufacturing line designing apparatus and manufacturing line designing method
CN107967372B (zh) 一种fpga总体布局合法化方法
CN107517465B (zh) 一种对fdd-lte基站站址的筛选方法和装置
CN104484309A (zh) 一种支持部分可重构的航天用SoC的实现方法
Montone et al. Wirelength driven floorplacement for FPGA-based partial reconfigurable systems
Maghrebi et al. Using benders decomposition for solving ready mixed concrete dispatching problems
CN107992012B (zh) 用于获取生产线工序间相关性的方法和装置
Fadzly et al. Analysis on flexible manufacturing system layout using arena simulation software
Upasani et al. Integrating a decomposition procedure with problem reduction for factory scheduling with disruptions: a simulation study
Zulfiqar et al. Use of macro/micro models and business intelligence tools for energy assessment and scenario based modeling
Târnaucă et al. Traffic condition monitoring using complex event processing
Ravishankar et al. FPGA power reduction by guarded evaluation considering logic architecture
Herr et al. Bluecollar: Optimizing worker paths on factory shop floors with visual analytics
Pereira et al. A shift-register based BIST architecture for FPGA global interconnect testing and diagnosis
Galli et al. Strong formulations for the multi-module PESP and a quadratic algorithm for graphical diophantine equation systems
Hrushko et al. Comparative Analysis of Combined Finite State Machine Implementation on Chips of Different Manufacturers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140326