CN103455655B - 用于设计集成电路的计算机实现的方法和装置 - Google Patents
用于设计集成电路的计算机实现的方法和装置 Download PDFInfo
- Publication number
- CN103455655B CN103455655B CN201310214539.2A CN201310214539A CN103455655B CN 103455655 B CN103455655 B CN 103455655B CN 201310214539 A CN201310214539 A CN 201310214539A CN 103455655 B CN103455655 B CN 103455655B
- Authority
- CN
- China
- Prior art keywords
- conversion ratio
- module
- place
- different elements
- elements
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/32—Circuit design at the digital level
- G06F30/327—Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
Description
Claims (15)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/486,177 | 2012-06-01 | ||
US13/486,177 US8640075B2 (en) | 2012-06-01 | 2012-06-01 | Early design cycle optimzation |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103455655A CN103455655A (zh) | 2013-12-18 |
CN103455655B true CN103455655B (zh) | 2017-04-12 |
Family
ID=49671907
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310214539.2A Expired - Fee Related CN103455655B (zh) | 2012-06-01 | 2013-05-31 | 用于设计集成电路的计算机实现的方法和装置 |
Country Status (2)
Country | Link |
---|---|
US (2) | US8640075B2 (zh) |
CN (1) | CN103455655B (zh) |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8640075B2 (en) | 2012-06-01 | 2014-01-28 | International Business Machines Corporation | Early design cycle optimzation |
US9536030B2 (en) * | 2014-06-12 | 2017-01-03 | International Business Machines Corporation | Optimization of integrated circuit physical design |
US10169523B2 (en) * | 2015-08-27 | 2019-01-01 | International Business Machines Corporation | Timing constraints formulation for highly replicated design modules |
US9798850B2 (en) | 2016-01-05 | 2017-10-24 | International Business Machines Corporation | System and method for combined path tracing in static timing analysis |
US9684756B1 (en) | 2016-01-25 | 2017-06-20 | International Business Machines Corporation | Assigning nets to wiring planes using zero wire load and signal propagation timing for chip design |
US10657211B2 (en) * | 2018-04-20 | 2020-05-19 | International Business Machines Corporation | Circuit generation based on zero wire load assertions |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1716090A (zh) * | 2004-06-28 | 2006-01-04 | 国际商业机器公司 | 在交替相移掩模中着色局部着色的设计的系统 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5764525A (en) | 1994-01-28 | 1998-06-09 | Vlsi Technology, Inc. | Method for improving the operation of a circuit through iterative substitutions and performance analyses of datapath cells |
US6701505B1 (en) | 2001-11-30 | 2004-03-02 | Sequence Design, Inc. | Circuit optimization for minimum path timing violations |
US7178118B2 (en) | 2003-05-30 | 2007-02-13 | Synplicity, Inc. | Method and apparatus for automated circuit design |
US7401307B2 (en) | 2004-11-03 | 2008-07-15 | International Business Machines Corporation | Slack sensitivity to parameter variation based timing analysis |
KR100593803B1 (ko) * | 2004-12-06 | 2006-06-28 | 주식회사 엔타시스 | 반도체 집적회로의 블록배치 및 전력배선 설계방법 |
US20060253814A1 (en) | 2005-05-03 | 2006-11-09 | Howard Porter | Method and apparatus for fixing hold time violations in a hierarchical integrated circuit design |
US7444600B2 (en) * | 2005-08-17 | 2008-10-28 | Kabushiki Kaisha Toshiba | System and method for circuit noise analysis |
JP2008210858A (ja) * | 2007-02-23 | 2008-09-11 | Fujitsu Ltd | 半導体集積回路の設計方法、設計装置及びcadプログラム |
US7555740B2 (en) * | 2007-02-27 | 2009-06-30 | International Business Machines Corporation | Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis |
US8239798B1 (en) * | 2007-08-03 | 2012-08-07 | Cadence Design Systems, Inc. | Methods, systems, and apparatus for variation aware extracted timing models |
US7810062B2 (en) | 2007-09-11 | 2010-10-05 | International Business Machines Corporation | Method for eliminating negative slack in a netlist via transformation and slack categorization |
US7886246B2 (en) | 2008-04-16 | 2011-02-08 | International Business Machines Corporation | Methods for identifying failing timing requirements in a digital design |
US8103997B2 (en) * | 2009-04-20 | 2012-01-24 | International Business Machines Corporation | Method of employing slew dependent pin capacitances to capture interconnect parasitics during timing abstraction of VLSI circuits |
US8640075B2 (en) | 2012-06-01 | 2014-01-28 | International Business Machines Corporation | Early design cycle optimzation |
-
2012
- 2012-06-01 US US13/486,177 patent/US8640075B2/en not_active Expired - Fee Related
-
2013
- 2013-05-31 CN CN201310214539.2A patent/CN103455655B/zh not_active Expired - Fee Related
- 2013-12-09 US US14/100,553 patent/US9038009B2/en not_active Expired - Fee Related
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1716090A (zh) * | 2004-06-28 | 2006-01-04 | 国际商业机器公司 | 在交替相移掩模中着色局部着色的设计的系统 |
Also Published As
Publication number | Publication date |
---|---|
US20130326450A1 (en) | 2013-12-05 |
US8640075B2 (en) | 2014-01-28 |
US9038009B2 (en) | 2015-05-19 |
CN103455655A (zh) | 2013-12-18 |
US20140101629A1 (en) | 2014-04-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103455655B (zh) | 用于设计集成电路的计算机实现的方法和装置 | |
KR101471237B1 (ko) | 적응형 전압 스케일링 최적화를 이용하는 집적 회로들을 설계하기 위한 시스템 및 방법 | |
US8453085B2 (en) | Method for estimating the latency time of a clock tree in an ASIC design | |
CN101877017B (zh) | 使用快速估计技术的有效的采用穷尽法的基于路径的sta | |
US6950998B1 (en) | Place-and-route with power analysis | |
US8806413B2 (en) | Gradient AOCV methodology enabling graph-based timing closure with AOCV timing models | |
US8656331B1 (en) | Timing margins for on-chip variations from sensitivity data | |
WO2009035813A1 (en) | Method of constrained aggressor set selection for crosstalk induced noise | |
US9058456B2 (en) | Method and system to fix early mode slacks in a circuit design | |
JP2011530763A5 (zh) | ||
US8719752B1 (en) | Hierarchical crosstalk noise analysis model generation | |
US8713506B2 (en) | System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same | |
US20030188268A1 (en) | Low Vt transistor substitution in a semiconductor device | |
US20160063171A1 (en) | Method, system, and computer program product for schematic driven, unified thermal and electromagnetic interference compliance analyses for electronic circuit designs | |
CN112347722B (zh) | 高效评估芯片Feed-through流水级数的方法及装置 | |
US11443089B1 (en) | Timing verification of non-standard library blocks | |
US20170308639A1 (en) | Method for analyzing ir drop and electromigration of ic | |
US10073944B2 (en) | Clock tree synthesis based on computing critical clock latency probabilities | |
US9727686B2 (en) | Method for finding non-essential flip flops in a VLSI design that do not require retention in standby mode | |
US8839061B2 (en) | System and method for scan chain re-ordering | |
US8527925B2 (en) | Estimating clock skew | |
US20090210845A1 (en) | Computer program product, apparatus, and method for inserting components in a hierarchical chip design | |
US9449127B1 (en) | System for verifying timing constraints of IC design | |
US7231336B2 (en) | Glitch and metastability checks using signal characteristics | |
US10885248B1 (en) | Method for modeling glitches during circuit simulation |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant | ||
TR01 | Transfer of patent right | ||
TR01 | Transfer of patent right |
Effective date of registration: 20171031 Address after: Grand Cayman, Cayman Islands Patentee after: GLOBALFOUNDRIES INC. Address before: American New York Patentee before: Core USA second LLC Effective date of registration: 20171031 Address after: American New York Patentee after: Core USA second LLC Address before: American New York Patentee before: International Business Machines Corp. |
|
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20170412 Termination date: 20190531 |