CN102473637A - Method of removing photoresist and etch-residues from vias - Google Patents

Method of removing photoresist and etch-residues from vias Download PDF

Info

Publication number
CN102473637A
CN102473637A CN2009801601351A CN200980160135A CN102473637A CN 102473637 A CN102473637 A CN 102473637A CN 2009801601351 A CN2009801601351 A CN 2009801601351A CN 200980160135 A CN200980160135 A CN 200980160135A CN 102473637 A CN102473637 A CN 102473637A
Authority
CN
China
Prior art keywords
photoresist
described method
gas
fluoro
ashing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801601351A
Other languages
Chinese (zh)
Inventor
付瑶
蔡怡文
D·L·麦克雷诺兹
D·塞克
V·博德兰内
W·维什涅夫斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Publication of CN102473637A publication Critical patent/CN102473637A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14016Structure of bubble jet print heads
    • B41J2/14088Structure of heating means
    • B41J2/14112Resistive element
    • B41J2/14137Resistor surrounding the nozzle opening
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/052Ink-jet print cartridges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

A method of photoresist removal with concomitant de-veiling is provided. The method employs a plasma formed from a gas chemistry comprising O2, NH3 and a fluorine-containing gas, such as CF4. The method is particularly suitable for use in MEMS fabrication processes, such as inkjet printhead fabrication.

Description

Remove the method for photoresist and etch residues from passage
Technical field
The present invention relates to the printer field, particularly the MEMS ink jet-print head.Though the present invention is equally applicable to any MEMS manufacturing process, it is developed mainly is in order to improve the manufacturing of MEMS ink jet-print head.
Background technology
Invented many dissimilar printings, its great majority use at present.The printing of form known has the whole bag of tricks with mark of correlation medium mark print media.Print form commonly used comprises offset printing, laser printing and copier, dot matrix type impact printer, heat-sensitive paper printer, film recorder, thermal wax printer, dye-sublimation printer and ink-jet printer (type and continuous flow pattern as required).When the simplicity of considering cost, speed, quality, reliability, structure and operation etc., every type printer all has its advantage and problem.
In recent years, field of ink jet printers, wherein the printing ink of each independent pixel mainly due to its cheap and multiduty characteristic, has become popular gradually from one or more printing ink nozzles.
Many different technologies of inkjet printing aspect have been invented.In order to investigate this field; The reference of using is the article of J Moore, " Non-Impact Printing:Introduction and Historical Perspective ", Out put Hard Copy Devices; Editor R Dubeck and S Sherr, 207-220 page or leaf (1988).
Ink-jet printer itself is divided into many different types.The utilization of printing ink Continuous Flow can be traced back to nineteen twenty-nine at least at least in the inkjet printing, and wherein the United States Patent(USP) No. 1941001 of Hansell discloses a kind of Continuous Flow electrostatic inkjet printing of single form.
The United States Patent (USP) 3596275 of Sweet also discloses the method that a kind of continous inkjet is printed, and comprises in order to cause ink droplet to separate, by the step of high-frequency electrostatic field adjustment ink jet stream.This technology is comprised that still some manufacturers of Elmjet and Scitex utilize (also referring to Sweet etc. United States Patent(USP) No. 3373437).
Piezoelectric inkjet printer also is a kind of equipment that utilizes inkjet printing usually of form.The piezoelectricity system is disclosed in the following document: Kyser etc. disclose the operation that utilizes the barrier film pattern in United States Patent(USP) No. 3946398 (1970); Zolten discloses a kind of operation of squeeze mode of piezoelectric crystal in United States Patent (USP) 3683212 (1970); Stemme discloses a kind of piezoelectric operated of beam mode in United States Patent(USP) No. 3747120 (1972), Howkins discloses the moving pattern-driven ink jet stream of a kind of piezoelectric push and Fischbeck disclose a kind of shear mode-type in US4584590 piezoelectric sensor element in United States Patent(USP) No. 4459601.
Recently, thermal inkjet-printing has become a kind of extremely popular inkjet printing form.This inkjet technology comprises that Endo etc. is in those disclosed in United States Patent (USP) 4490728 such as GB2007162 (1979) and Vaught.Above-mentioned list of references all discloses and has relied on the inkjet technology that electro drives; Wherein electro has caused in the for example generation of bubble in the nozzle of the space of compression, thereby it has caused printing ink is sprayed onto on the relevant print media from the hole that is connected to the confined space.The PRN device that utilizes electro is by for example Canon and Hewlett Packard manufacturing of manufacturer.
As above-mentioned visible, many dissimilar printing techniques are utilizable.Ideally, printing technique should have a large amount of required attributes.These comprise cheap structure and operation, the operation of high speed, safe and continuous long period of operation etc.Every kind of technology possibly have its oneself merits and demerits aspect simplicity, durability and the consumption of materials of cost, speed, quality, reliability, using energy source, structure operation.
The applicant has developed a kind of a large amount of ink jet-print heads of being made by the MEMS technology.Usually, MEMS makes and uses a plurality of photoresist depositions and remove step.The photoresist (about below 1 micron) of removing the relative thin layer that is used as photo etched mask normally is easy to.Reference condition are used oxygen plasma, and it is commonly called as in the technology of " ashing " oxidation and removes any photoresist in this area.
In the manufacturing of inkjet nozzle assembly, the applicant has used photoresist as sacrificing support, and other material (for example heater material, top level structure) can deposit above that.This technology allows the nozzle assembly of structure more complicated.But it need compare the heat-resisting viscosity photoresist of thick-layer.As will be explained in more detail below, possibly need 30 microns photoresist layer or bolt at the most.And, must thoroughly dry and UV solidifies this photoresist, make its follow-up high temperature deposition step for example metal or ceramic material be deposited on can remelting in the process on the said photoresist.
In typical MEMS printhead manufacturing process, final cineration step is removed all remaining photoresists in the nozzle assembly, comprises the photoresist support and the photoresist bolt that use in the said manufacturing process.So far, traditional O 2The plasma ashing technology has been used for the step in last or later stage and has removed photoresist.
But, the photoresist of thick-layer, it has been dried and UV solidifies, and ashing is had the repellence of raising, with traditional O 2The plasma ashing technology is removed slow relatively.This means the ashing time of needs prolongation and/or higher ashing temperature.Ashing time that prolongs and/or higher ashing temperature are unwanted, because the risk of other MEMS structure of infringement (for example nozzle chambers, driver) improves in podzolic process.And, need to improve the efficient of each MEMS processing step usually, so that reduce process time also, finally, reduce the cost of each printhead.
O 2And fluorinated gas (CF for example 4) combination known be to improve ashing rate.But the applicant has been found that O 2/ CF 4Gas chemistry needs a large amount of CF 4(>10%) improves ashing rate.At high concentration CF 4Down, said ashing condition has adverse effect to the silicon nitride nozzle arrangements in applicant's the printhead.Therefore proved O 2/ CF 4It is not satisfied being used for removing the photoresist of drying from applicant's printhead.
O 2/ N 2Use also known be to improve ashing rate, though N 2Interpolation only show with respect to pure O 2Be used to remove the limited raising of the photoresist of drying.
Therefore, from above-mentioned, will understand needs to improve the efficient of removing photoresist in the MEMS manufacturing process.
Further hope, be accompanied by removing of photoresist and remove " veil " from etched passage.Residue after the etching or " veil " form the accessory substance of non-homogeneous etch process (for example Bosch technology) along sidewall.Veil is art-recognized problem and is very difficult to remove.Veil contains the material after the etching of holding back kind usually, and it is silicon-oxygen-carbon compound normally.The non-homogeneous etch chemistry (for example Bosch technology) that forms polymer has produced usually the veil that can only remove with the aggressive chemistry solvent of humidity.And, at high temperature use O 2Conventional ashing be compounded with the problem of veil usually, make them even more be difficult to and remove.Therefore, need a kind of dry method go veil technology, its be reliably and its do not need to damage the eroding chemical of the humidity of wafer.
Though in the above-mentioned needs context that Already in printhead is made; Any MEMS manufacturing process will be understanded and the improvement technology of removing photoresist and/or removing veil, those MEMS manufacturing process that particularly use has been dried and/or UV solidifies can be benefited from than the thick-layer photoresist.
Summary of the invention
Aspect first, a kind of method of removing photoresist from base material is provided, said method is used by comprising O 2, NH 3The plasma that forms with the gas chemistry of fluoro-gas.With the conventional O of use 2Plasma or O 2/ N 2The ashing rate of plasma is compared, and all of a sudden and advantageously makes ashing rate improve at least 20%, at least 50% or at least 100% according to the method for the invention.
With the conventional O of use 2Or O 2/ N 2The ashing plasma is compared, and follows in the said base material cover (de-veils) of passage after the etching according to the method for the invention.
Randomly, fluoro-gas is CF 4
Randomly, said fluoro-gas is present in the said gas chemistry with the concentration less than 5 volume %.Usually keep low fluoro-gas amount, to avoid damaging any silicon nitride print head structure in the base material.
Randomly, said fluoro-gas is present in the said gas chemistry with the concentration less than 3 volume %.
Randomly, O 2: NH 3Ratio be 20: 1-5: 1.
Randomly, O 2: CF 4Ratio be 40: 1-20: 1.
Randomly, said gas chemistry is by O 2, NH 3And CF 4Form.But if desired, inert gas for example He and Ar possibly be present in the gas chemistry.
Randomly, said photoresist is the photoresist of rigid baking and/or the photoresist that UV solidifies, and it uses conventional O 2Or O 2/ N 2The ashing plasma is difficult to remove especially.And the use of conventional ashing plasma stays residue (" veil ") usually, itself is problematic.
Randomly, said photoresist has at least 5 microns thickness, is for example forming photoresist described in the MEMS structure (for example inkjet nozzle assembly) as sacrificing support.
Randomly, said base material is connected to a chuck (chuck), and said chuck is cooled to-5 to-30 ℃ temperature range.
Randomly, said method is a step of MEMS manufacturing process, for example printhead manufacturing process.
Randomly, said photoresist is included in inkjet nozzle chamber and/or the ink supply passage.
Randomly, said photoresist is the protective coating of inkjet nozzle assembly and/or the mask of the dark reactive ion etch of anisotropy (DRIE) technology.
Aspect second, a kind of method of making ink jet-print head is provided, said method comprising the steps of:
Front at wafer substrate forms the inkjet nozzle chamber, and each nozzle chambers has the corresponding ink inlet that clogs with photoresist;
From the back etched ink supply passage of wafer substrate it is communicated with the ink inlet that clogs with photoresist; And
Through the said back side is carried out by comprising O 2, NH 3With first Cement Composite Treated by Plasma that first gas chemistry of fluoro-gas forms, remove at least some photoresists, and make said ink supply passage go to cover.
Randomly, said method comprises other step:
Through said front is carried out by comprising O 2And NH 3Second Cement Composite Treated by Plasma that forms of second gas chemistry, remove other photoresist.
Brief Description Of Drawings
Optional embodiment of the present invention will only be described with the mode of embodiment with reference to accompanying drawing hereinafter, wherein:
Fig. 1 is the part perspective view of the series of spray nozzles assembly of hot ink-jet print head;
Fig. 2 is the end view of the nozzle assembly cell that shows among Fig. 1;
Fig. 3 is the perspective view of the nozzle assembly that shows among Fig. 2;
Fig. 4 has shown that sidewall and quilting material are deposited on the nozzle assembly of the photoresist layer upper rear portion formation of sacrifice;
Fig. 5 is the perspective view of the nozzle assembly that shows among Fig. 4;
Fig. 6 be with Fig. 7 in the relevant mask of nozzle edge etching that shows;
Fig. 7 has shown the etching that forms the top layer layer at nozzle opening edge;
Fig. 8 is the perspective view of the nozzle assembly that shows among Fig. 7;
Fig. 9 is the relevant mask of nozzle opening edge etching that shows among Figure 10;
Figure 10 has shown the etching of the quilting material that forms oval nozzle opening;
Figure 11 is the perspective view of the nozzle assembly that shows among Figure 10;
Figure 12 has shown the nozzle assembly behind the back side wafer attenuate;
Figure 13 is the perspective view of the nozzle assembly that shows among Figure 12;
Figure 14 is the relevant mask of back etched that shows among Figure 15;
Figure 15 has shown the back etched of ink supply passage in the wafer;
Figure 16 is the perspective view of the nozzle assembly that shows among Figure 15;
Figure 17 has shown the nozzle assembly after the ashing of the back side; With
Figure 18 is the perspective view of the nozzle assembly that shows among Figure 17.
The description of optional embodiment
Like top indication, the present invention can be used for the technology that any needs are removed photoresist.But, now explain as example with the embodiment that uses the manufacturing of MEMS ink jet-print head.The applicant has described the manufacturing of a large amount of ink jet-print heads that the present invention is fit in front.In order to understand the present invention, there is no need to describe all this type printheads here.But the present invention will combine thermal to form ink jet-print head now and mechanical thermal flexure drives the ink jet-print head description.From following discussion, will easily understand advantage of the present invention.
Referring to Fig. 1, shown the part of the printhead that comprises a large amount of nozzle assemblies.Fig. 2 and 3 has shown in these nozzle assemblies one lateral cross-sectional view and profile perspective.
Each nozzle assembly is included in the nozzle chambers 24 that is formed by the MEMS manufacturing technology on the silicon wafer substrate 2.Nozzle chambers 24 extends to sidewall 22 definition of silicon substrate 2 by top layer 21 with from top layer 21.As shown in Figure 1, each top layer is by 56 definition of portion nozzle plate, and it crosses over the ejection face of printhead among a small circle.Said nozzle plate 56 and sidewall 22 are formed by identical materials, and it is deposited on the photoresist sacrifice support by PECVD in the MEMS manufacture process.Usually, said nozzle plate 56 and sidewall 21 are formed by ceramic material, for example silicon dioxide or silicon nitride.These hard materials have excellent performance to the durability of printhead, and their intrinsic hydrophilies help through capillarity ink supply being arrived nozzle chambers 24.
Get back to the details of nozzle chambers 24, will see that nozzle opening 26 is in the definition of the top layer of each nozzle chambers 24.Each nozzle opening 26 is normally oval-shaped and have a relevant nozzle edge 25.Said nozzle edge 25 helps the directivity of dripping in print procedure, and the printing ink that has reduced at least to a certain extent from nozzle opening 26 overflows.Being used for from nozzle chambers 24 driver of ejection printing ink is to be positioned at nozzle opening 26 belows and to suspend across the heating element 29 of concave point 8.Electric current offers heating element 29 through the electrode 9 of drive circuit in the bottom cmos layer that is connected to base material 2.When electric current passed through heating element 29, its overheated apace parcel printing ink formed bubble, and it forces printing ink to pass through nozzle opening.Through suspension heating element 29, it is immersed in the printing ink fully when perfusion nozzle chambers 24.This has improved the efficient of printhead, because less heat is emitted in the following base material 2 and more intake is used to produce bubble.
Can be clear that among Fig. 1, the nozzle arrangement of embarking on journey, ink supply passage 27 along this row longitudinal extension with ink supply each nozzle in this row.Ink supply passage 27 is transported to the ink inlet pipeline 15 of each nozzle with printing ink, its through printing ink conduit 23 in the nozzle chambers 24 from nozzle opening 26 sides supply printing ink.
The complete MEMS manufacturing process that is used to make this type printhead be described in detail in we on October 11st, 2005 the U. S. application No.11/246 in first to file, in 684, its content is incorporated this paper by reference into.An embodiment has in order to demonstrate the invention briefly repeated the later steps of this manufacturing process here.
Figure 4 and 5 have shown the printhead of sacrificing comprising of partly making the nozzle chambers 24 that photoresist 16 seals.In the nozzle manufacture process; It at first is to clog ink inlet 15 (as shown in Figure 2) that photoresist 16 is used to; The secondth, being used for the deposited heater material as support is to be used for deposited sidewalls 22 and top layer 21 (it has defined portion nozzle plate 56) as support with the heating element 29, the three that form to suspend.The photoresist that clogs ink inlet 15 has about 20 microns degree of depth, and the photoresist that in nozzle chambers, is used as support has at least 5 microns thickness.And all photoresists 16 are dried and UV solidifies, and must in manufacturing process, remove after a while.
Referring to Fig. 6-8, the next procedure that MEMS makes has defined oval nozzle edge 25 through the quilting material 20 that etches away 2 microns in top layer 21.This etching is the photoresist (not shown) definition of exposure under the mask at the dark-coloured edge that in Fig. 6, shows with one deck.Oval rim 25 comprises two coaxial rim lip 25a and 25b, is positioned at their hot driver 29 tops separately.
Referring to Fig. 9-11, next procedure has defined oval nozzle bore 26 through all paths of etching residue quilting material 21 in top layer 21, and it receives the constraint at edge 25.This etching is the photoresist (not shown) definition of exposure under the mask at the dark-coloured edge that in Fig. 9, shows with one deck.Oval nozzle bore 26 is positioned at the top of hot driver 29, and is shown in figure 11.
Handle in case accomplish the MEMS of front wafer surface, then through grinding back surface with etch into about 150 microns thickness and come this wafer of attenuate (Figure 12 and 13).Behind the wafer grinding, the non-homogeneous DRIE that uses standard from chip back surface etching ink service duct 27 up to communicate with ink inlet 15 (Figure 14-16).The photoresist of drying 50 definition that this back etched makes public under dark-coloured mask shown in Figure 14 with one deck.Be useful on the sacrifice photoresist of making positive MEMS nozzle assembly removing after, ink supply passage 27 will be set up a jet and connect between the back side of wafer and ink inlet 15.
The photoresist layer 50 and a part of bolt (Figure 17 and 18) that clogs the photoresist 16 of frontside ink inlet 15 that back side ashing is dried to remove the back side at first carried out in removing of photoresist.Back side ashing utilizes the ashing condition of describing in following examples, adopts continuous three stage cineration technicss.
In the cineration technics of routine, use O 2Plasma comes ashing photoresist 16.But according to the present invention, the ashing plasma is with comprising O 2, NH 3And CF 4Gas chemistry form.When plasma is formed by the gas chemistry that comprises these gas chemistries, improving ashing rate and reducing and aspect the damage of nozzle arrangements, realized better ashing.And, use these gas chemistries also to remove the veil that produces by the non-homogeneous etching in the back side of ink supply passage 27, avoided needing moist eroding chemical to remove veil.The experimental detail of ashing condition has partly been carried out more detailed description in following examples.
At last, remaining photoresist 16 has been removed in positive ashing, and the complete printhead shown in Fig. 1-3 is provided.Positive ashing can utilize O according to the present invention 2/ NH 3/ CF 4Gas chemistry.In addition, positive ashing can utilize the O that describes among the applicant's the open No.US2009/0078675 of the U.S. 2/ NH 3Gas chemistry, its content is incorporated this paper into way of reference.
Fig. 1 has shown the triplex row adjacent nozzles in the complete printhead ic part perspective view.Every capable nozzle has the ink supply passage separately 27 that extends along its length direction, with ink supply a plurality of ink inlets 15 in every row.Subsequently, ink inlet is with the printing ink conduit 23 of ink supply to every row, and each nozzle chambers receives printing ink from the shared printing ink conduit of that delegation.
Those skilled in the art will understand the accurate order of the MEMS manufacturing step that can change after-stage.For example, wafer can only carry out back side ashing or positive ashing.In any case, will understand in order to remove photoresist 16 and printhead to be provided, wafer must carry out ashing, no matter is positive ashing and/or back side ashing.
Embodiment
Chip back surface ashing shown in Figure 17 and 18 is carried out in ashing furnace, uses the best ashing order shown in the table 1.Prescription 1 usefulness 15 minutes, 2 usefulness of then filling a prescription 5 minutes, 3 usefulness of filling a prescription then 10 minutes.Temperature in the table 1 refers to chuck temperature, adopts the helium cooling.
Prescription 1 Prescription 2 Prescription 3
Pressure (mTorr) ?80 20 20
ICP energy (W) ?2200 2200 2200
?NH 3(sccm) ?10 10 10
?O 2(sccm) ?100 100 100
?CF 4(sccm) ?3 3 0
Temperature (℃) One 20 -20 -20
Time (minute) ?15 5 10
Table 1
Under the ashing condition of the order shown in the table 1, observe excellent photoresist and remove speed.And like what SEM confirmed, ink supply passage 27 has gone to cover with ink inlet fully.Through contrast, conventional O 2Ashing or O 2/ N 2Ashing need about 70-90 minute the ashing time remove identical photoresist, and stay a large amount of veils, it need be removed through follow-up wet-chemical treatment.
As what expect, using O 2/ NH 3/ CF 4Also observed excellent ashing rate and gone to cover in the positive ashing experiment of gas chemistry.
From these experiments, can reach a conclusion: compare with conventional ashing condition, comprise O 2/ NH 3/ CF 4Gas chemistry better ashing rate is provided and has removed screening effect unexpectedly.
Those of ordinary skills will understand under the situation of the spirit or scope of the present invention that does not deviate from like roughly statement, can carry out multiple change and/or modification to the present invention shown in the specific embodiments.Therefore, listed embodiment is that the various aspects that need consideration are described for example, rather than limits.

Claims (20)

1. method of removing photoresist from base material, said method is used by comprising O 2, NH 3The plasma that forms with the gas chemistry of fluoro-gas.
2. the described method of claim 1, wherein said method follow in the said base material passage after the etching go cover.
3. the described method of claim 1, wherein said fluoro-gas is CF 4
4. the described method of claim 1, wherein said fluoro-gas is present in the said gas chemistry with the concentration less than 5 volume %.
5. the described method of claim 1, wherein said fluoro-gas is present in the said gas chemistry with the concentration less than 3 volume %.
6. the described method of claim 1, wherein O 2: NH 3Ratio be 20: 1-5: 1.
7. the described method of claim 1, wherein O 2: CF 4Ratio be 40: 1-20: 1.
8. the described method of claim 1, wherein said gas chemistry is only by O 2, NH 3And CF 4Form.
9. the described method of claim 1, wherein the rate of removing of photoresist is than using O 2The photoresist of plasma is removed rate greatly at least 20%.
10. the described method of claim 1, wherein said photoresist is the photoresist of rigid baking processing.
11. the described method of claim 1, wherein said photoresist are the photoresists that UV solidifies.
12. the described method of claim 1, wherein said photoresist has at least 5 microns thickness.
13. the described method of claim 1, wherein said base material are connected to a chuck, said chuck is cooled to-5 to-30 ℃ temperature range.
14. the described method of claim 1, wherein said method are steps of MEMS manufacturing process.
15. the described method of claim 1, wherein said method are steps of printhead manufacturing process.
16. the described method of claim 15, wherein said photoresist is included at least one of inkjet nozzle chamber and ink supply passage.
17. the described method of claim 15, wherein said photoresist are the protective coating of inkjet nozzle assembly and/or the mask of the dark reactive ion etch of anisotropy (DRIE) technology.
18. a method of making ink jet-print head said method comprising the steps of:
Front at wafer substrate forms the inkjet nozzle chamber, and each nozzle chambers has the corresponding ink inlet that clogs with photoresist;
From the back etched ink supply passage of said wafer substrate it is communicated with the ink inlet that clogs with photoresist; And
Through the said back side is carried out by comprising O 2, NH 3With first Cement Composite Treated by Plasma that first gas chemistry of fluoro-gas forms, remove at least some said photoresists, and make said ink supply passage go to cover.
19. the described method of claim 18 is further comprising the steps of:
Through said front is carried out by comprising O 2And NH 3Second Cement Composite Treated by Plasma that forms of second gas chemistry, remove other photoresist.
20. the described method of claim 18, wherein said second gas chemistry comprises O 2, NH 3And fluoro-gas.
CN2009801601351A 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias Pending CN102473637A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/AU2009/001088 WO2011022749A1 (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias

Publications (1)

Publication Number Publication Date
CN102473637A true CN102473637A (en) 2012-05-23

Family

ID=43627057

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801601351A Pending CN102473637A (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias

Country Status (5)

Country Link
JP (1) JP2012531053A (en)
KR (1) KR20120060832A (en)
CN (1) CN102473637A (en)
SG (1) SG178435A1 (en)
WO (1) WO2011022749A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103092009A (en) * 2011-11-08 2013-05-08 无锡华润华晶微电子有限公司 Removing method of photoresist used as masking layer of plasma injection

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5921953B2 (en) * 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
KR20240040525A (en) * 2022-09-21 2024-03-28 피에스케이 주식회사 A method for treating a substrate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313280A (en) * 2000-04-02 2001-11-09 Axcelis Technologies Inc Postetched photoresist and method for removing residue
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
JP5038567B2 (en) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 Etching method
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
JP2005268312A (en) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc Resist removing method and semiconductor device manufactured using same
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103092009A (en) * 2011-11-08 2013-05-08 无锡华润华晶微电子有限公司 Removing method of photoresist used as masking layer of plasma injection
CN103092009B (en) * 2011-11-08 2015-05-20 无锡华润华晶微电子有限公司 Removing method of photoresist used as masking layer of plasma injection

Also Published As

Publication number Publication date
SG178435A1 (en) 2012-03-29
WO2011022749A1 (en) 2011-03-03
JP2012531053A (en) 2012-12-06
KR20120060832A (en) 2012-06-12

Similar Documents

Publication Publication Date Title
JP4671200B2 (en) Inkjet printhead manufacturing method
CN1642741A (en) Ink jet nozzle arrangement configuration
US8382253B1 (en) Fluid ejection device and methods of fabrication
JP2006315191A (en) Liquid ejecting head and its manufacturing method
JP2010105405A (en) Method for manufacturing inkjet printer head
CN101610909B (en) Method of fabricating printhead having hydrophobic ink ejection face and printhead
US8425004B2 (en) Printhead having polymer incorporating nanoparticles coated on ink ejection face
CN102473637A (en) Method of removing photoresist and etch-residues from vias
CN100335286C (en) Printed media product
US20090078675A1 (en) Method of removing photoresist
TWI476113B (en) Printhead having polymer incorporating nanoparticles coated on ink ejection face
TW201348010A (en) Printhead with recessed slot ends
JP2006062148A (en) Silicone structure manufacturing method, mold manufacturing method, silicone structure, ink jet recording head, image forming apparatus and semiconductor device
CN102470675B (en) Printhead having polysilsesquioxane coating on ink ejection face
JP2011500374A (en) Print head with pressure buffering structure
WO2008075715A1 (en) Method of producing nozzle plate for liquid discharge head, nozzle plate for liquid discharge head, and liquid discharge head
US20110018937A1 (en) Printhead having ink ejection face complementing ink or other features of printhead
JP4671330B2 (en) Method for manufacturing ink jet recording head
JP2006315190A (en) Liquid ejecting head and its manufacturing method
JP2004209708A (en) Inkjet recording head, its manufacturing method, and base for inkjet recording head used for the manufacture
TWI503235B (en) Printhead having polysilsesquioxane coating on ink ejection face
JP2006213002A (en) Manufacturing method of inkjet head
JP2002096472A (en) Method for manufacturing nozzle substrate for ink jet head
TW201107906A (en) Method of removing photoresist and etch-residues from vias
US8342650B2 (en) Printhead having polysilsesquioxane coating on ink ejection face

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120523