CN102073227A - Photoresist removing method - Google Patents

Photoresist removing method Download PDF

Info

Publication number
CN102073227A
CN102073227A CN2009102463115A CN200910246311A CN102073227A CN 102073227 A CN102073227 A CN 102073227A CN 2009102463115 A CN2009102463115 A CN 2009102463115A CN 200910246311 A CN200910246311 A CN 200910246311A CN 102073227 A CN102073227 A CN 102073227A
Authority
CN
China
Prior art keywords
photoresist
power
oxygen gas
ashing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009102463115A
Other languages
Chinese (zh)
Inventor
肖玉洁
朱旋
谢宝强
杨兆宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSMC Technologies Corp
Wuxi CSMC Semiconductor Co Ltd
Original Assignee
CSMC Technologies Corp
Wuxi CSMC Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CSMC Technologies Corp, Wuxi CSMC Semiconductor Co Ltd filed Critical CSMC Technologies Corp
Priority to CN2009102463115A priority Critical patent/CN102073227A/en
Publication of CN102073227A publication Critical patent/CN102073227A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

The invention relates to a photoresist removing method comprising the following steps of: providing a semiconductor substrate, wherein a photoresist layer is formed on the surface of the semiconductor substrate; carrying out first-step ashing on the photoresist layer by using an oxygen plasma, wherein the excitation source power of the oxygen plasma generated in the first-step ashing is a first power; and carrying out second-step ashing on the photoresist layer by using the oxygen plasma, wherein the excitation source power of the oxygen plasma generated in the second-step ashing is a second power, and the second power is larger than the first power. The photoresist removing method improves the photoresist removing effect and eliminates the chromatic aberration defect.

Description

The removal method of photoresist
Technical field
The present invention relates to field of semiconductor manufacture, particularly a kind of removal method of photoresist.
Background technology
Photoetching is one of important process method in the semiconductor fabrication process, generally includes following steps: the spin coating photoresist is to form photoresist layer on semiconductor wafer; Described photoresist layer is carried out the selectivity exposure, and make the photoresist layer after the exposure further form the photoresist pattern by development step, this step is called the patterning of photoresist layer again; With the photoresist layer behind the described patterning is mask, and described semiconductor wafer is carried out etching; After finishing etching, described photoresist layer is carried out ashing (ash), photoresist layer is removed.
Cineration technics generally is to use driving source that gases such as oxygen are dissociated, and makes gas molecule generation ionization, produces to comprise oxygen base or oxonium ion (O +) plasma gas, then it is fed in reaction chamber with semiconductor wafer on photoresist layer react, generate the compound (CO of carbon and hydrogen 2, H 2O etc.), thus realize the reset procedure of photoresist.In addition, in order to quicken the course of reaction of photoresist and oxygen gas plasma, cineration technics generally is to carry out under higher temperature, is generally tens of to hundreds of degrees centigrade.
Disclosed application number is the method that discloses a kind of removal method of photoresist in 200710042132.0 the Chinese patent application and made mosaic texture, this method is mainly by the produce oxygen power of driving source of plasma of control, make it be less than or equal to 400W, thereby reduce the physical bombardment effect of oxygen gas plasma, avoid photoresist to remove the damage that process ionic medium body causes the dielectric layer of photoresist layer lower floor with this.But in fact, adopt such scheme to remove photoresist,, can make that the removal effect of photoresist is relatively poor, cause chromatic aberration defect (discolor defect) if the power of driving source is too small.Described chromatic aberration defect is meant in the defective observation process, exists between the zone of defective and the peripheral area free from defect and has color distortion.Removing photoresist chromatic aberration defect afterwards mainly is because the polymkeric substance (polymer) that generates in residual photoresist and the etching process causes attached to wafer surface, and this type of defective can influence the carrying out of subsequent process steps, thereby reduces the reliability of device.Often after photoresist is removed in ashing, wafer surface is cleaned in the prior art, but actual effect and bad can not effectively be removed residual photoresist and polymkeric substance.
Therefore, need a kind of removal method of new photoresist, improve the removal effect of photoresist, the color difference eliminating defective.
Summary of the invention
The problem that the present invention solves provides a kind of removal method of photoresist, improves the removal effect of photoresist layer, the color difference eliminating defective.
For addressing the above problem, the invention provides a kind of removal method of photoresist, comprise the following step:
The semiconductor-based end, be provided, be formed with photoresist layer on the surface at the described semiconductor-based end;
Use oxygen gas plasma that described photoresist layer is carried out first step ashing, the driving source power that produces described oxygen gas plasma in the first step ashing is first power;
Use oxygen gas plasma that described photoresist layer is carried out the second step ashing, the driving source power that produces described oxygen gas plasma in the second step ashing is second power, and described second power is greater than first power.
Optionally, the performance number of described first power is 400W to 1000W.
Optionally, the performance number of described second power is 2000W to 3000W.
Optionally, comprise that also use sulfuric acid solution and ammonia spirit clean the surface at the described semiconductor-based end respectively.
Optionally, the flow of oxygen gas plasma is 500 to 1500sccm (ml/min) in the described first step ashing.
Optionally, the flow of oxygen gas plasma is 500 to 1500sccm in the described second step ashing.
Optionally, the duration of described first step ashing is 30s to 60s.
Optionally, the duration of the described second step ashing is 5s to 10s.
Optionally, described driving source is radio frequency source or microwave source.
Compared with prior art, the present invention has the following advantages:
The method of the removal photoresist in the technique scheme, two step podzolic process have been adopted, at first use first power as the driving source power plasma that produces oxygen, carry out first step ashing with this oxygen gas plasma, use second power greater than first power plasma that produces oxygen afterwards, carry out the second step ashing, the driving source power of plasma is greater than first step ashing owing to produce oxygen in the second step ashing, the oxygen gas plasma energy that produces is higher, and oxygen ion concentration wherein is bigger, improve the removal effect of photoresist, avoided chromatic aberration defect.
In addition, compare with described first step ashing, the duration of the second step ashing is shorter, avoids or has weakened the damage that plasma bombardment causes the dielectric layer of photoresist lower floor.
Description of drawings
Fig. 1 is the schematic flow sheet of removal method of the photoresist of the embodiment of the invention;
Fig. 2 to Fig. 6 is the cross-sectional view of forming process of the fleet plough groove isolation structure of the embodiment of the invention.
Embodiment
For above-mentioned purpose of the present invention, feature and advantage can more be become apparent, the specific embodiment of the present invention is described in detail below in conjunction with accompanying drawing.
Set forth detail in the following description so that fully understand the present invention.But the present invention can be different from alternate manner described here and implements with multiple, and those skilled in the art can do similar popularization under the situation of intension of the present invention.Therefore the present invention is not subjected to the restriction of following public embodiment.
In the prior art, impaired for fear of the dielectric layer of photoresist layer lower floor, the power of the driving source of the plasma that produces oxygen is often less, thereby weakens the bombardment effect of oxygen plasma, avoids damage.But the inventor finds, if the power of driving source is too small, can make that the energy of the oxygen gas plasma that produces is lower, because ashing is the process of a reaction while bombard, more low-energy plasma is known from experience the efficient that reduces the removal photoresist; On the other hand, the power of driving source is little, makes that the gas ionization degree is lower, and the concentration of oxonium ion is on the low side in the oxygen gas plasma of generation, also can influence the removal effect of photoresist.Above-mentioned two aspect reasons make and the reaction efficiency variation between oxygen gas plasma and the photoresist are easy to generate the residual of photoresist, cause chromatic aberration defect.
The invention provides a kind of removal method of photoresist, two step podzolic process have been adopted, at first use first power as the driving source power plasma that produces oxygen, carry out first step ashing with this oxygen gas plasma, use second power greater than first power plasma that produces oxygen afterwards, and carry out the second step ashing, because the driving source power of the plasma that produces oxygen in the first step ashing is less, can not cause the damage of dielectric layer because of the bombardment of plasma; And second step produced oxygen the driving source power of plasma in the ashing greater than first step ashing, and oxygen ion concentration is bigger in the oxygen gas plasma of generation, and energy is higher, has improved the removal effect of photoresist, has avoided chromatic aberration defect.
Fig. 1 has provided the schematic flow sheet of the embodiment of the invention.As shown in Figure 1, execution in step S1 provides the semiconductor-based end, is formed with photoresist layer on the surface at the described semiconductor-based end; Execution in step S2 uses oxygen gas plasma that described photoresist layer is carried out first step ashing, and the driving source power that produces described oxygen gas plasma in the first step ashing is first power; Execution in step S3 uses oxygen gas plasma that described photoresist layer is carried out the second step ashing, and the driving source power that produces described oxygen gas plasma in the second step ashing is second power, and described second power is greater than first power.
Present embodiment is an example with the photoresist removal process that forms in the fleet plough groove isolation structure, and Fig. 2 to Fig. 5 is the cross-sectional view of forming process of the fleet plough groove isolation structure of the embodiment of the invention.Below in conjunction with Fig. 1 embodiments of the invention are elaborated.
With reference to figure 1, execution in step S1 provides the semiconductor-based end, is formed with photoresist layer on the surface at the described semiconductor-based end.
As shown in Figure 2, provide the semiconductor-based end 100, surface, the described semiconductor-based ends 100 is formed with hard mask layer (hard mask) 101 and photoresist layer 102 successively.
The material at the described semiconductor-based end 100 can be a kind of in monocrystalline silicon, polysilicon, the amorphous silicon, and described Semiconductor substrate 100 can also be an epitaxial layer structure on silicon-on-insulator (SOI, Silicon On Insulator) structure or the silicon.
The material of described hard mask layer 101 is silicon nitride (Si 3N 4) or silicon oxynitride (SiON) or both rhythmo structure.The formation method of described hard mask layer 101 can be plasma enhanced chemical vapor deposition (PECVD) or low-pressure chemical vapor deposition (LPCVD) technology.Hard mask layer 101 preferable material are silicon nitride in the present embodiment, and preferably formation method is a low-pressure chemical vapor deposition, and its thickness is 200 to 500
Figure G2009102463115D00051
Be preferably 300 Described hard mask layer 101 can play a protective role to the silicon materials of semiconductor substrate 100, and can be used as stop layer in the leveling process of subsequent technique.
Method through spin coating on the described hard mask layer 101 is formed with photoresist layer 102, and described photoresist layer 102 is patterned after technologies such as overexposure, development, is formed with the pattern of groove opening on it.
As shown in Figure 3, be mask with the photoresist layer behind the described patterning 102, the described hard mask layer 101 and the semiconductor-based end 100 are carried out etching, form groove opening 110, described groove opening 110 extends at described the semiconductor-based end 100.Etching process in the present embodiment adopts dry etching, and the etching gas that adopts in the described dry etching is fluorine-containing chemical compound gas.
With reference to figure 1 and Fig. 4, execution in step S2 uses oxygen gas plasma that described photoresist layer is carried out first step ashing, and the driving source power that produces described oxygen gas plasma in the first step ashing is first power.
The reaction chamber that carries out first step podzolic process in the present embodiment is the reaction chamber in the above-mentioned dry etching process, and promptly original position (in-situ) also can be ex situ in other embodiments of the invention.Described first step ashing specifically comprises: use radio frequency or microwave source as the driving source plasma that produces oxygen, and will in the oxygen gas plasma feeding reaction chamber that produce described photoresist layer be carried out ashing, described photoresist layer reaction back generates the compound (CO of carbon and hydrogen 2, H 2O etc.) be removed after.As shown in Figure 4, through after the described first step ashing, the photoresist layer on the described hard mask layer 101 is removed.First power of the plasma that produces oxygen in the described first step podzolic process is 400W to 1000W, and gas source is oxygen (O 2), ozone (O 3), nitrogen oxide (NO) etc., the flow of oxygen gas plasma is 500 to 1500sccm in the first step ashing, its duration is 30s to 60s.Preferred radio frequency source is as driving source in the process of plasma of producing oxygen in the present embodiment, the performance number of first power is preferably 800W, gas source is preferably oxygen, and the flow of oxygen gas plasma is preferably 800sccm in the first step podzolic process, and the duration is preferably 50s.Because in the first step podzolic process, the power of driving source is lower, the oxygen gas plasma energy of generation is lower, has avoided its structure to photoresist layer lower floor to cause bombardment damage.
In the prior art in order to prevent that oxygen gas plasma from causing bombardment damage to dielectric layer, the driving source power of plasma of producing oxygen is less, in the scheme as described in the background art driving source power is controlled at below the 400W, but, the speed that the little meeting of driving source power makes photoresist remove is on the low side, influences production capacity.The inventor finds, first power is controlled at 400W to 1000W, can't cause than obvious impairment dielectric layer, but also can improve the removal speed of photoresist.
In fact, the driving source power of plasma is less owing to produce oxygen in the first step podzolic process, the energy of the feasible oxygen gas plasma that produces is less on the one hand, on the other hand under driving source power situation on the low side, the degree of ionization of gas source is lower, the concentration of oxonium ion is on the low side in the feasible oxygen gas plasma that forms, and above-mentioned two aspect reasons can make the reaction efficiency of oxygen gas plasma and photoresist reduce.Therefore, after first step ashing, have residual photoresist and exist,, can cause chromatic aberration defect, follow-up process is impacted if it is not eliminated.
With reference to figure 1, execution in step S3 uses oxygen gas plasma that described photoresist layer is carried out the second step ashing, and the driving source power that produces described oxygen gas plasma is second power, and described second power is greater than first power.
The described second step ashing can be that original position is carried out, promptly in same reaction chamber, finishes with first step podzolic process, and also can be ex situ.Specifically comprise: use radio frequency or microwave source as the driving source plasma that produces oxygen, and the oxygen gas plasma that produces fed carry out the second step ashing in the reaction chamber, remove residual photoresist.Described second power is 2000W to 3000W greater than described first power, and the gas source of the plasma that produces oxygen is oxygen (O 2), ozone (O 3), nitrogen oxide (NO) etc., the flow of oxygen gas plasma is 500 to 1500sccm in the second step ashing, its duration is 5s to 10s.Preferred microwave source is as the driving source of the second step ashing in the present embodiment, and preferred second watt level is 2500W, and gas source is an oxygen, and the flow of oxygen gas plasma is preferably 800sccm in the second step podzolic process, and the duration is preferably 8s.
In the prior art, in order to prevent to damage dielectric layer, the driving source power of the plasma that produces oxygen in the podzolic process is lower, generally can not surpass 1000W, can cause the residue problem of photoresist.Adopt two step ashing in the technical program, at first carry out photoresist is removed in advance with the first less power, use the second bigger power with first step ashing afterwards after residual photoresist removal.In order to prevent down dielectric layer to be caused damage more high-power, the duration of the second step ashing has been controlled in this programme strictness, has avoided again dielectric layer is caused damage when removing photoresist fully.
In the described second step podzolic process, the driving source power of plasma is higher owing to produce oxygen, the energy of the feasible oxygen gas plasma that produces is higher on the one hand, and its bombardment effect is more obvious, makes residual photoresist easier back that reacts under the bombardment effect remove; Make that on the other hand the degree of ionization of gas source is higher, the concentration of oxonium ion is higher in the oxygen gas plasma of generation, has improved the removal effect to residual photoresist.
Compare with described first step ashing, the duration of the second step ashing is shorter, prevented of the excessive bombardment of high-octane plasma to the dielectric layer of photoresist layer lower floor, as the hard mask layer shown in Figure 4 in the present embodiment 101 and the semiconductor-based end 100, the dielectric layer damage of having avoided causing and the problem of reliability decrease by bombardment damage.Simultaneously, owing to photoresist residual after the first step ashing is generally less, therefore, in above-mentioned duration scope, can finish the removal process of photoresist.
After the described second step ashing, use sulfuric acid and ammonia spirit that the surface at the described semiconductor-based end is cleaned respectively, be specially in the present embodiment surface of described hard mask layer is cleaned.Though similar cleaning process is also arranged in the prior art, and effect is relatively poor.Because the technical scheme in the present embodiment had been carried out the second step ashing before cleaning, the energy of use therein oxygen gas plasma is bigger, under the bombardment effect of plasma, residual photoresist and polymkeric substance are bombarded into less particulate, remove by cleaning process easilier.
As shown in Figure 5 and Figure 6, after cleaning process, also be included in the described opening 110 the filled media material and carry out leveling.Specifically comprise, as shown in Figure 5, bottom and sidewall at described opening 110 forms laying (liner) 103 earlier, and its formation method can generate (in-situ streamgeneration:ISSG) annealing process for original position steam, also can adopt thermal oxidation technology; Afterwards, carry out the dielectric material fill process in described opening 110, form dielectric layer 104, described fill process is chemical vapor deposition or high density plasma CVD, and the material of dielectric layer described in the present embodiment 104 is a monox.Afterwards as shown in Figure 6, leveling is carried out on surface to described dielectric layer 104, leveling method in the present embodiment is chemically mechanical polishing, to cover described hard mask layer 101 lip-deep part dielectric layers 104 and hard mask layer 101 and grind removal respectively, finish the forming process of fleet plough groove isolation structure.
The foregoing description is to be example with the photoresist removal process that forms in the fleet plough groove isolation structure, and technical scheme of the present invention can also be applied to the removal process of the photoresist in other processing steps.As form in the process of interconnection structure, after photoetching and etching formation groove and through-hole structure, use the technical program to carry out the removal of photoresist, carry out metal filled formation interconnection or embolism structure afterwards again.
In sum, the present invention has adopted two step podzolic process, at first use the first lower power as the driving source power plasma that produces oxygen, and carry out first step ashing with this oxygen gas plasma, use second power greater than first power plasma that produces oxygen afterwards, and carry out second the step ashing, wherein second go on foot the driving source power of the plasma that produces oxygen in the ashing greater than first step ashing, the oxygen gas plasma energy that produces is higher, and oxygen ion concentration wherein is bigger, improve the removal effect of photoresist, avoided chromatic aberration defect.
In addition, compare with described first step ashing, the duration of the second step ashing is shorter, has avoided plasma that the dielectric layer of photoresist lower floor is caused bombardment damage.
In addition, after the described second step ashing, use sulfuric acid and ammonia spirit to clean, remove residual photoresist and polymkeric substance, further avoided chromatic aberration defect.
Though the present invention with preferred embodiment openly as above; but it is not to be used for limiting the present invention; any those skilled in the art without departing from the spirit and scope of the present invention; can utilize the method and the technology contents of above-mentioned announcement that technical solution of the present invention is made possible change and modification; therefore; every content that does not break away from technical solution of the present invention; to any simple modification, equivalent variations and modification that above embodiment did, all belong to the protection domain of technical solution of the present invention according to technical spirit of the present invention.

Claims (9)

1. the removal method of a photoresist is characterized in that, comprising:
The semiconductor-based end, be provided, be formed with photoresist layer on the surface at the described semiconductor-based end;
Use oxygen gas plasma that described photoresist layer is carried out first step ashing, the driving source power that produces described oxygen gas plasma in the first step ashing is first power;
Use oxygen gas plasma that described photoresist layer is carried out the second step ashing, the driving source power that produces described oxygen gas plasma in the second step ashing is second power, and described second power is greater than first power.
2. the removal method of photoresist according to claim 1 is characterized in that, the performance number of described first power is 400W to 1000W.
3. the removal method of photoresist according to claim 1 and 2 is characterized in that, the performance number of described second power is 2000W to 3000W.
4. the removal method of photoresist according to claim 1 is characterized in that, also comprises using sulfuric acid solution and ammonia spirit respectively the surface at the described semiconductor-based end to be cleaned.
5. the removal method of photoresist according to claim 1 is characterized in that, the flow of oxygen gas plasma is 500 to 1500sccm in the described first step ashing.
6. the removal method of photoresist according to claim 1 is characterized in that, the flow of oxygen gas plasma is 500 to 1500sccm in the described second step ashing.
7. the removal method of photoresist according to claim 1 is characterized in that, the duration of described first step ashing is 30s to 60s.
8. the removal method of photoresist according to claim 1 is characterized in that, the duration of the described second step ashing is 5s to 10s.
9. the removal method of photoresist according to claim 1 is characterized in that, described driving source is radio frequency source or microwave source.
CN2009102463115A 2009-11-25 2009-11-25 Photoresist removing method Pending CN102073227A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2009102463115A CN102073227A (en) 2009-11-25 2009-11-25 Photoresist removing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009102463115A CN102073227A (en) 2009-11-25 2009-11-25 Photoresist removing method

Publications (1)

Publication Number Publication Date
CN102073227A true CN102073227A (en) 2011-05-25

Family

ID=44031820

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009102463115A Pending CN102073227A (en) 2009-11-25 2009-11-25 Photoresist removing method

Country Status (1)

Country Link
CN (1) CN102073227A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103337465A (en) * 2013-06-13 2013-10-02 京东方科技集团股份有限公司 Method for detecting etching residue
CN103904023A (en) * 2012-12-25 2014-07-02 上海华虹宏力半导体制造有限公司 Photoresist removing method in thick aluminum etching process
CN105632920A (en) * 2014-10-27 2016-06-01 业鑫科技顾问股份有限公司 Thin-film transistor substrate manufacturing method
CN111722479A (en) * 2020-06-23 2020-09-29 北京北方华创微电子装备有限公司 Photoresist stripping method
CN113589660A (en) * 2021-05-07 2021-11-02 威科赛乐微电子股份有限公司 Photoresist removing method for VCSEL chip after ICP etching
WO2022095497A1 (en) * 2020-11-09 2022-05-12 长鑫存储技术有限公司 Photoresist removal method and removal apparatus

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103904023A (en) * 2012-12-25 2014-07-02 上海华虹宏力半导体制造有限公司 Photoresist removing method in thick aluminum etching process
CN103337465A (en) * 2013-06-13 2013-10-02 京东方科技集团股份有限公司 Method for detecting etching residue
WO2014198082A1 (en) * 2013-06-13 2014-12-18 京东方科技集团股份有限公司 Method for detecting etching residue
US9176053B1 (en) 2013-06-13 2015-11-03 Boe Technology Group Co., Ltd Method for detecting an etching residue
CN103337465B (en) * 2013-06-13 2017-08-25 京东方科技集团股份有限公司 A kind of method of detection etch residual
CN105632920A (en) * 2014-10-27 2016-06-01 业鑫科技顾问股份有限公司 Thin-film transistor substrate manufacturing method
CN105632920B (en) * 2014-10-27 2019-05-21 鸿富锦精密工业(深圳)有限公司 The production method of thin film transistor base plate
CN111722479A (en) * 2020-06-23 2020-09-29 北京北方华创微电子装备有限公司 Photoresist stripping method
CN111722479B (en) * 2020-06-23 2023-09-08 北京北方华创微电子装备有限公司 Photoresist stripping method
WO2022095497A1 (en) * 2020-11-09 2022-05-12 长鑫存储技术有限公司 Photoresist removal method and removal apparatus
CN113589660A (en) * 2021-05-07 2021-11-02 威科赛乐微电子股份有限公司 Photoresist removing method for VCSEL chip after ICP etching

Similar Documents

Publication Publication Date Title
JP6033496B2 (en) Novel mask removal method for vertical NAND devices
US6693043B1 (en) Method for removing photoresist from low-k films in a downstream plasma system
CN101536155B (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20080182422A1 (en) Methods of etching photoresist on substrates
US7605063B2 (en) Photoresist stripping chamber and methods of etching photoresist on substrates
CN102073227A (en) Photoresist removing method
US20060201911A1 (en) Methods of etching photoresist on substrates
WO2008073906A2 (en) Dry photoresist stripping process and apparatus
KR20150094546A (en) Etching method to form spacers having multiple film layers
CN109690735B (en) Stripping method for high aspect ratio structures
TWI687995B (en) Method of silicon extraction using a hydrogen plasma
JPH07153769A (en) Manufacture of semiconductor integrated circuit device and its manufacturing equipment
US20040214448A1 (en) Method of ashing a photoresist
US7572704B2 (en) Method for forming metal pattern and method for forming gate electrode in semiconductor device using the same
TW201814079A (en) Method for removing silicon dioxide from wafer and manufacturing process for integrated circuit
KR100523014B1 (en) Method for producing semiconductor device
CN103137443A (en) Formation method and etching method for amorphous carbon hard mask layer
TW200303053A (en) A high selectivity and residue free process for metal on thin dielectric gate etch application
CN106024622A (en) Method for manufacturing self-aligned silicide barrier layer
TWI282146B (en) Method of forming insulating film in semiconductor device
JP2000164732A (en) Semiconductor device and manufacture thereof
KR100499630B1 (en) Fabricating method of semiconductor device
TWI336111B (en) Method for in-situ repairing plasma damage on substrate and method for fabricating transistor device
JP2010062212A (en) Method for manufacturing semiconductor device
JP3942601B2 (en) Method for forming capacitor insulating film and method for forming semiconductor memory device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110525