CN101988198B - For the etching composite of isotropy copper etching - Google Patents

For the etching composite of isotropy copper etching Download PDF

Info

Publication number
CN101988198B
CN101988198B CN200910164003.8A CN200910164003A CN101988198B CN 101988198 B CN101988198 B CN 101988198B CN 200910164003 A CN200910164003 A CN 200910164003A CN 101988198 B CN101988198 B CN 101988198B
Authority
CN
China
Prior art keywords
etching
copper
etch
composite
solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910164003.8A
Other languages
Chinese (zh)
Other versions
CN101988198A (en
Inventor
史蒂文·T·迈尔
埃里克·韦布
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Priority to CN200910164003.8A priority Critical patent/CN101988198B/en
Publication of CN101988198A publication Critical patent/CN101988198A/en
Application granted granted Critical
Publication of CN101988198B publication Critical patent/CN101988198B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Weting (AREA)

Abstract

Composite for isotropic etching copper comprises the aqueous solution comprising following thing: (a) bidentate, three teeth or four tooth complexing agents, and it is selected from the group be made up of diamines, triamine and tetramine; (b) oxygenant, the pH value of wherein said solution is between about between 5 and 12.In one embodiment, described etching solution comprises quadrol (EDA) and hydrogen peroxide, and pH value is between about between 6 and 10.The solution provided can when not causing layers of copper surface essence roughening at a high speed (such as, at least about 1,000 A/min of clock) etch copper.The semiconductor device that described composite is particularly useful for making from part removes copper, such as etch copper tectum.

Description

For the etching composite of isotropy copper etching
Technical field
The invention relates to the method for etch copper.More specifically, the invention relates to the method for the copper in isotropic etching semiconducter substrate.
Background technology
Copper and copper alloy is widely used in field of semiconductor manufacture by as electro-conductive material.As conductor, copper is usually because having high conductivity and good electromigration immunity and being better than other metals such as such as aluminium.Due to these advantages, the existing normal conductive path circuit and through hole of filling out copper being considered as (such as in unicircuit) connect elements in semiconductor device.
But during manufacture semiconductor device, there is many problems in the processing of copper.Because copper not easily carries out plasma etching, therefore cupric device needs to use inlay (damasceneprocessing) to manufacture usually.In inlay, be deposited on substrate using copper as inlay, substrate has the pattern of preformed built-in feature (such as through hole and groove).The pattern of built-in feature is normally formed by photoetching technique.Formed after built-in feature, by copper deposited overall on substrate, so that it fills built-in feature, and scene effect district forms tectum, wherein field region refer to copper deposition before the end face of substrate.Subsequently, by planarization decoats such as such as chemically machinery polisheds (CMP), thus the flat substrate having and fill out copper conductive pathway pattern is provided.
Although each stage of semiconductor device manufacture all needs the method effectively removing copper, because conventional wet lay copper etching technique generally cannot successfully be incorporated in semiconductor device manufacturing process, therefore not yet can extensively introduce these technology.A distinct disadvantage of customary etch chemistry comprises its anisotropy.Anisotropic etching causes copper preferential etch in a particular direction and/or a certain grain-oriented preferential etch, and therefore causes roughened copper surface, occurs that indenture and the uneven copper of crystal boundary dependency are removed.In the semiconductor manufacturing usually needing clean, smooth and isotropy removal copper, can not put up with this shortcoming in many cases.
Summary of the invention
The present invention, by providing isotropy copper to etch composite and utilizing isotropic etching composite isotropy to remove the method for copper, solves problem mentioned above.These composites can be used for removing copper with high etch-rate (such as, at least about 1, the speed of 000 A/min of clock), and do not cause surperficial essence roughening.For example, in certain embodiments, the solution provided when not increasing surfaceness (the judging as do not reduced by etching rear surface reflectivity) of etching copper surfaces, can remove the copper of 1,000 dust.In other embodiments, surfaceness only and not obvious increase (such as, cause every 1, the surface albedo of 000 dust etch copper initially reduce be less than about 20%).
On the one hand, the etching composite provided comprises a kind of aqueous solution, and this aqueous solution comprises (a) bidentate, three teeth or four tooth complexing agents, and it is selected from the group be made up of diamines, triamine and tetramine; (b) oxygenant.The pH value of solution between about between 5 and 12, more generally between about between 6 and 10.In certain embodiments, solution can comprise other component, such as pH value regulator.
Suitable oxygenant is including but not limited to superoxide, permanganate, persulphate and ozone solution.In many examples, hydrogen peroxide (H 2o 2) be preferred oxygenant.
In certain embodiments, complexing agent used in composite is diamines.The example of suitable bidentate diamines comprises quadrol (EDA, H 2nCH 2cH 2nH 2) and N-methyl ethylenediamine (H 3cNHCH 2cH 2nH 2).
In certain embodiments, complexing agent is triamine, such as three tooth triamines, i.e. diethylenetriamine (H 2nCH 2cH 2nHCH 2cH 2nH 2).
In other embodiments, complexing agent is tetramine, such as three (2-amino-ethyl) amine (N (CH 2cH 2nH 2) 3).In certain embodiments, etching solution can comprise the mixture of polyamines, and wherein polyamines is selected from the group be made up of diamines, triamine and tetramine.
In a specific embodiment, etching composite comprises quadrol, hydrogen peroxide and the optional pH value regulator (such as sulfuric acid) used, and has between the pH value about between 6 and 10.
On the other hand, the method for the cupric part of the semiconducter substrate providing a kind of etching part to make.Described method can be used in kinds of processes.For example, in etching capping technique (etching-and-cappingprocess), it can be used for etch copper tectum, manufactures groove etc. filling out in copper vias.Described method comprises: the semiconducter substrate that the part of (a) receiving package cupric exposure zone is made; (b) by making substrate contact with the wet etch solution of pH value in the scope about between 5 with 12, copper in etch substrate, wherein solution comprises (i) bidentate, three teeth or four tooth complexing agents, and it is selected from the group be made up of diamines, triamine and tetramine; (ii) oxygenant.Etching solution can have composition as described above, and preferably can high speed isotropic etching copper.
Multiple method can be used to be delivered on substrate by etching solution, and described method comprises dipping, spray, contact spin coating (spinoncontact), contacts in film reactor.In certain embodiments, preferably solution is sprayed on substrate.
Hereinafter with reference to correlative type, these and other feature & benefits of the present invention is described in more detail.
Accompanying drawing explanation
Figure 1A-1C provides the schematic cross-section of a part of pattern structure, the exemplary processes that its explanation can use isotropy copper to etch.
Fig. 2 is the experiment histogram of the copper etch-rate showing different etching chemistry.
Fig. 3 illustrates the etching solution for containing EDA and hydrogen peroxide, the empirical curve of the relation of copper etch quantity and spray etching time.
Fig. 4 illustrates the etching solution for containing EDA and hydrogen peroxide, the dependent empirical curve of pH value of copper etch-rate.
Fig. 5 illustrates the etching solution for containing EDA and hydrogen peroxide, the empirical curve of the relation of copper etch-rate and concentration of hydrogen peroxide.
Fig. 6 illustrates the etching solution for containing EDA and hydrogen peroxide, the empirical curve of the relation of copper etch-rate and EDA concentration.
Embodiment
As described in, the invention provides composite and the method for isotropic etching copper.The composite provided is particularly useful for the copper in semiconducter substrate that etching part makes, such as etch copper tectum, manufacture groove etc. filling out in copper vias.
As used herein, " copper " refers to copper metal and its alloy, and is soaked with the copper metal of organic compounds (smoothing agent, accelerator and inhibitor that such as, copper electroplating is conventional).It should be noted that provided composite can also etch other copper-bearing materials, the copper material be such as oxidized, include, but is not limited to cupric oxide and copper hydroxide.
As used herein, " semiconducter substrate " refers to the substrate containing semiconductor material (such as Silicon Wafer (wafer) or wafer (die)) of either phase between substrate processing period.Semiconducter substrate can comprise multiple material (such as dielectric medium and conductor) deposited thereon.
" isotropic etching " refers to and removes copper with speed similar in fact in all directions and/or remove copper with speed similar in fact in all crystal grains orientation.In certain embodiments, the feature of isotropic etching is: the seldom or not roughening on the surface of etching copper.Surface measurements roughening is carried out by measuring front and after removing a certain amount of copper (such as 1,000 dust) the surface albedo of etching.In certain embodiments, the feature of the etching composite provided is: often etch 1, the copper of 000 dust, and reflectivity initially reduces and is less than about 20%.In certain embodiments, the initial reduction of reflectivity is less than about 15%, is such as less than about 10%, and such as 5% or lower.
The particular composition containing oxygenant and complexing agent can be utilized to realize the etching to copper in isotropy mode.Be surprised to find that, during when needs isotropy uniform etching without obvious indenture or roughening, the character particularly important of complexing agent.Be developed the etch combination between about between 5-12, preferably providing high etch rates (such as, at least about 1,000 A/min of clock, preferably at least about 2,000 A/min of clock) in the pH value range about between 6-10.It should be noted that in certain embodiments, in the built-in feature (or filling out copper wire) of different size, be etched with speed identical in fact occur.In addition, the different surfaces in built-in feature is also etched with speed identical in fact, such as identical with the etch-rate at feature bottom place at the etch-rate of the corner of formed built-in feature.In certain embodiments, being etched on whole wafer of utilizing described composition to carry out evenly occurs, and the etch-rate wherein between wafer center part and edge exists little change.
By contrast, conventional copper etch combination (such as, having the etch combination of the low ph value being less than about 5) represents non-isotropy characteristic usually, and the etch-rate wherein in less feature is in fact higher than the etch-rate in larger feature.In addition, when utilizing conventional etch composition, observe larger indenture and high surfaceness.
The uncommon isotropic characteristics of etching described herein gives the credit to the rate-limiting reaction of copper surface generation.When not by particular theory constraint, in the pH value range between about 6-12, cupric oxide may be formed on copper surface, and cupric oxide is dissolved by the complexing agent of copper etch combination and removes immediately.Should note, in certain embodiments, advantageously, etch combination as herein described can not form one deck and be present in cupric oxide on copper surface, and be to provide and there is high-reflectivity (such as, 5,000 dust etching back reflection rate is greater than 120% (relative to silicon face) and the reflectance reduction of every 1,000 dust institute etch copper is less than about 10%) oxide-free smooth copper surface.Therefore, if form any oxide compound during etching reaction, then immediately its original position is removed, so that operate without the need to extra oxide removal.
Described etching normally non grain is specific, and such as, it can not occur with higher rate in fact at independent any specific die orientation or crystal boundary, and therefore can not produce undesirable facet (faceting).Etch-rate has nothing to do with characteristic dimension and spacing.In addition, described etching composite can provide the indenture of minimizing and the surfaceness of reduction, and provides the oxide-free smooth surface with high-reflectivity.
According to an embodiment, described composition comprises oxygenant (such as, superoxide, persulphate, permanganate, ozone solution etc.) and bidentate, three teeth or four tooth complexing agents, i.e. diamines, triamine or tetramine.In certain embodiments, hydrogen peroxide is because having high-dissolvability and low cost and becoming preferred oxygenant.
Find, the character of complexing agent is very important.For example, find, simple monodentate ligand (such as ammonia) and the larger multidentate ligand (such as ethylenediamine tetraacetic acid (EDTA) (EDTA)) being rich in carboxylate radical provide low etch-rate and cause forming oxide on surface.In certain embodiments, the wet etch solution provided in fact not containing the ligand (such as EDTA) had more than 4 teeth, and does not contain ammonia and its salt.
Unexpectedly, etch-rate that the diamines of bidentate, three teeth and four teeth, triamine and tetramine can provide excellent, isotropic characteristics, low surface roughness and without residual surface oxide compound is found.Multiple bidentate, three teeth and four tooth amine can be used.These ligands can at nitrogen place (such as, can be N-alkyl replaces) or other position derivatize, or can underivatized.Example comprises quadrol (EDA, H 2nCH 2cH 2nH 2), N-methyl ethylenediamine (CH 3nHCH 2cH 2nH 2), diethylenetriamine (H 2nCH 2cH 2nHCH 2cH 2nH 2) and three (2-amino-ethyl) amine (N (CH 2cH 2nH 2) 3).The mixture of bidentate, three teeth and four tooth amine also can be used in etching composite.
Advantageously, the etch combination provided provides high etch-rate and produces the smooth metal surface with high reflectivity.In addition, in certain embodiments, polyamines is without the need to any or may reach required pH value by alkaline pH adjuster costly in a large number.The example comprising the typical etch composition of polyamines comprises and has polyamines (such as EDA) and H 2o 2pH value between the composition about between 6-10.In other embodiments, the alkaline pH adjuster such as such as tetra-alkyl ammonium hydroxide can be added in composition.In certain embodiments, etch combination comprises such as sulfuric acid (H 2sO 4) etc. acid ph value conditioning agent reduce pH value.Should be appreciated that, pH value higher than 5 time (composition provided operates at this moment), acid ph value conditioning agent is mainly present in etching solution in the form of salts.Therefore, such as term " wraps vitriolated etching solution " and should be interpreted as comprising for required pH value, the solution of the suitable conjugate base of sulfuric acid.Multiple acid ph value conditioning agent can be used for etch combination.In certain embodiments, poisonous or explosive pH value regulator, such as HClO is preferably got rid of 4.
Typical Exemplary etch composition is the composition comprising quadrol, hydrogen peroxide and the optional sulfuric acid used, and wherein the pH value of composition is between about between 6 and 10.Although etching solution generally may contain multiple additional component (such as, tensio-active agent, corrosion inhibitor etc.), in certain embodiments, described solution is made up of following substantially: water; Be selected from the bidentate of the group be made up of diamines, triamine and tetramine, three teeth or four tooth complexing agents; Oxygenant, and the optional pH value regulator used.
Another favorable characteristics of the present composition is: etching can be calmed down rapidly (that is, can reduce etch-rate) at a high ph.For example, if need to stop etching at the specified time of technique, then can alkaline pH adjuster be provided in system, increase to (such as) to make the pH value of etching reagent and be greater than about 10-12.The etch-rate of described etch combination reduces at a high ph, and stops etching at a high ph by introducing suitable pH value regulator (such as, containing OH conditioning agent).Suitable alkaline pH adjuster comprises tetra-alkyl ammonium hydroxide, such as Tetramethylammonium hydroxide (TMAH).
The etching composite provided is the aqueous solution, is with the difference of chemical mechanical polishing solutions or slurries: it does not contain abrasive grains and in the process removing metal, does not rely on the surface mechanical attrition utilizing and pad and carry out.Therefore, such as, the engraving method provided does not need pad (to utilize the abrasive in solution, or the abrasive keeping or be fixed in pad, or the abrasive not in pad or in solution), and by substrate is immersed in etching solution, or solution is sprayed on substrate, realizes etching simply.In many examples, spray is the preferred method be delivered to by etch combination on substrate.In an exemplary embodiment, at ambient temperature, from the nozzle (so-called " fan nozzle ") producing a series of spray on the whole surface etching solution is sprayed on and makes on its substrate rotated in the rotation of (such as, speed is between about between 20-200rpm).In general, comparatively high temps can be used to increase etch-rate.In some instances, the equipment using etching solution comprises multiple hypotenuse and removes equipment used in (edgebevelremoval, EBR) or spinner (spinrinsedrier, SRD) application.The example of suitable equipment and its using method are further described in be given No. the 6th, 309,981, the United States Patent (USP) of the people such as Mel (Mayer) and gives on July 1st, 2003 in No. the 6th, 586,342, the United States Patent (USP) of the people such as Mel October 30 calendar year 2001.In addition, in certain embodiments, contact spin-coating method can be used or in film reactor, make etching solution and substrate contact.
Described etch combination can in multiple situation during semiconductor machining.For example, can use composition under the dielectric medium exposed exists, in copper wire, form groove.In addition, described composition also can for selective etch copper under diffusion barrier material (such as tantalum and/or tantalum nitride) exists.In another example, etch combination is delivered to and comprises on the substrate of copper capping layer, with partially or completely decoat.
Should be appreciated that, the use of isotropic etching composition as herein described is not limited to application mentioned above, and can be used for wherein needing in any application of isotropic etching copper.Meanwhile, in the multiple application except manufacturing except unicircuit (IC), described composition and method are particularly useful for be had width in manufacture and is less than filling out in the process of the semiconductor device of copper feature of about 400nm and uses.
Time in for semiconductor machining, copper etch process relates to the semiconducter substrate in (a) providing package cupric district; (b) Shi Tong district contacts with etch combination as herein described.In certain embodiments, after initial for some time etching, by the pH value (such as, by adding alkaline pH adjuster) increasing etching solution, etching reaction is calmed down (that is, reducing etch-rate or stopped reaction).
Figure 1A-1C provides the exemplary sectional view that wherein can use the pattern structure of provided engraving method.Figure 1A illustrates the structure comprising substrate 101 (such as dielectric medium), and described substrate 101 has built-in type and fills out copper built-in feature 111.Substrate field region exists one deck copper capping layer 109.Thin conformal (conformal) diffusion impervious layer 105 (such as, tantalum and/or tantalum nitride) is there is between copper district 109 and 111 and substrate zone 101.In one embodiment, tectum 109 etches wholly or in part by making substrate contact with isotropy wet etch solution provided in this article.In certain embodiments, before or after wet etching, carry out planarization Operation, such as CMP or electric planarization (electroplanarization).
Figure 1B illustrates the substrate obtained after decoat.Diffusion impervious layer 105 is exposed in field region, and layers of copper 111 is exposed to the top filling out copper built-in feature.In certain embodiments, can isotropic etching solution provided in this article be delivered on substrate, in layers of copper 111, groove is formed optionally (not etch diffusion impervious layer) under the existence of diffusion impervious layer 105, thus obtaining the structure shown in Fig. 1 C, Fig. 1 C illustrates and is filling out the groove formed in the top of layers of copper 111.Next, in certain embodiments, top cover (such as, containing cobalt top cover) can be utilized, fill this groove by such as electroless deposition.This type of copper-connection through capping is required owing to an improved the electromigration characteristic of interconnection in some embodiments.
Should be appreciated that, the use of isotropic etching composition provided in this article is not limited to discussed illustrative example (such as, etching capping) above.The isotropy composition provided can be used in the multiple situation of inlay flow process (such as, tectal etching in many cases) and other technical process except inlay flow process.For example, provided etching reagent can be used to remove unwanted copper from the marginarium of semiconducter substrate or substrate back.
The etch combination provided and the experimental detail of method will describe in lower part.
Experiment
the comparison of the ligand in copper etch combination
First deposit copper facing rete (blanketcoatedcopperfilmlayer), and use multiple wet etching composition to etch subsequently.Under about 480nm wavelength, measure the reflectivity on copper surface before and after etching, and provide with per-cent relative to silicon face.Test the ability of following aqueous solution isotropic etching copper:
(a) quadrol (0.066M), H 2o 2(1.06M), pH8.9,20 DEG C.
This solution represents the high etch-rate of 4600 A/min of clocks, and represents excellent isotropic characteristics.After etching removal 6900 dust, the reflectivity on copper surface is 131% (being 134% before etching).
(b) glycine (0.066M), H 2o 2(1.06M), pH8.9,20 DEG C.
This solution represents etch-rate and the isotropy of 1580 A/min of clocks.Etch-rate is lower than EDA.After etching removal 1053 dust, the reflectivity on copper surface is 140% (being 134% before etching).
(c) ammonium persulphate (0.066M), without hydrogen peroxide, pH2.6,20 DEG C.
This low ph value (acidity) etching solution represents the etch-rate of 1849 A/min of clocks, but obtains the copper surface with high roughness after the etching.After etching removal 1849 dust, the reflectivity on copper surface is only 61% (being 134% before etching).Observe butler.
(d) ammonium acetate (0.066M), H 2o 2(1.06M), pH3.6,20 DEG C.
This low ph value etching solution represents the etch-rate of 1163 A/min of clocks, but obtains sorrel CuO surface film after etching and etching.After etching removal 1163 dust, the reflectivity on copper surface is only 8.8% (being 134% before etching).
(e) ammonium persulphate (0.066M), without hydrogen peroxide, pH8.9,20 DEG C.
This etching solution represents the etch-rate of only 613 A/min of clocks, but obtains the copper surface with sorrel oxide compound after the etching.After etching removal 429 dust, the reflectivity on copper surface is 52% (being 134% before etching).
(f) ammonium hydroxide (0.066M), H 2o 2(1.06M), pH8.9,20 DEG C.
This etching solution represents the etch-rate of only 53 A/min of clocks, but obtains the copper surface with sorrel oxide compound after the etching.After etching removal 53 dust, the reflectivity on copper surface is 68% (being 134% before etching).
(g)EDTA(0.066M)、H 2O 2(1.06M),pH8.9,20℃。
This etching solution containing ethylenediamine tetraacetic acid (EDTA) (EDTA) represents the etch-rate of only 5 A/min of clocks.After etching removal 5 dust, the reflectivity on copper surface is 135% (being 134% before etching), and this shows to exist with surface seldom interact (that is, remove few metal or form few film).
(h) citric acid (0.066M), H 2o 2(1.06M), pH8.9,20 DEG C.
This etching solution does not represent obvious etching yet.
(i) oxalic acid (0.066M), H 2o 2(1.06M), pH8.9,20 DEG C.
This etching solution does not represent obvious etching yet.
(j) ammonium acetate (0.066M), H 2o 2(1.06M), pH9.6,20 DEG C.
This etching solution represents the etch-rate of 429 A/min of clocks, but obtains the copper surface with sorrel oxide compound after the etching.After etching removal 429 dust, the reflectivity on copper surface is 13% (being 134% before etching).
The histogram of the relation that etch-rate and etching chemistry are described is illustrated in Fig. 2.
Can find out from provided example, only EDA and glycine provide high etch rates and isotropic characteristics.Ammonium hydroxide and ammonium salt cause forming oxidate on copper surfaces and/or causing high surfaceness.EDTA, citric acid and oxalic acid do not represent obvious etch-rate.EDA is better than glycine because providing etch-rate higher in fact.
containing EDA and H 2 o 2 etching solution
By will containing EDA (4g/L, 0.067M) and H 2o 2(the 30%H of 120g/L 2o 2, or about 1.9M) etching solution be sprayed on etching on substrate.The pH value of solution is 8.9; Temperature is 20 DEG C.Observe about 4, the etch-rate of 600 A/min of clocks.After removal 7,000 dust copper, reflectivity is 122% (compared with the reflectivity of etching front 125%).
Fig. 3 illustrates amount and the relation of spray etching time of etch copper.Can find out, in about 120 seconds, remove about 9,000 dust.The amount of etch copper is relevant to spray etching linearly.
In another experiment, the pH value dependency of research copper etch-rate.Fig. 4 illustrates the relation curve of etch-rate and pH value.Use containing 2g/L (0.033M) EDA and 30g/L30%H 2o 2the etching solution of (9g/L or 0.47M).Depending on increasing or reduce the needs of the pH value of unaltered EDA/ peroxide mixture, utilize H 2sO 4or TMAH adjust ph.Observe, lower than under the pH value of 7, copper surface roughen also has tarnish reflection, but also has streak or bending, and outward appearance is uneven generally.Under high ph-values (about 11), although surface seems relatively not change (reflectivity/slickness), etch-rate reduces.Find, it is about 7 to about 10.5 that preferred EDA etches pH value, is more typically about 8.5 to 10.
Fig. 5 illustrates constant when being 8.9 in pH value, etch-rate with containing EDA (0.067M) and H 2o 2(10-40g/L) H of solution 2o 2the relation of concentration.Along with H 2o 2the increase of amount, etch-rate appropriateness increases.
Fig. 6 illustrates under pH8.9, etch-rate with containing EDA (0-8g/L) and H 2o 2(1M) relation of the EDA concentration of solution.Can find out, etch-rate obviously changes with EDA change in concentration, and along with the increase of EDA concentration, etch-rate increases in near-linear mode.
Table 2 illustrates the change of the etching solution reflectivity (isotropic measure) containing EDA and hydrogen peroxide, wherein EDA concentration is in 1 scope to 8g/L, concentration of hydrogen peroxide is within the scope of about 10 to about 40g/L, and pH value is in the scope of 7 to 11.6.Can find out, in all cases, the reduction of reflectance value is all no more than 15%.
The roughened copper surface degree of table 2. containing acquisition after the different solutions etching of EDA.
other is utilized to contain the etching solution of amino complexing agent
Test the multiple solution containing different complexing agent.Utilize TMAH or H 2sO 4pH value is transferred to 8.9 or 8.8.
(a) N-methyl ethylenediamine (0.066M), H 2o 2(1.00M), pH8.9,20 DEG C.
This solution represents obvious etch-rate and the isotropic characteristics of 1575 A/min of clocks.After etching removal 1575 dust, the reflectivity on copper surface is 127% (being 134% before etching).
(b) sarkosine (0.066M), H 2o 2(1.00M), pH8.9,20 DEG C.
This solution represents the etch-rate of 11.5 A/min of clocks.
(c) taurine (0.066M), H 2o 2(1.00M), pH8.9,20 DEG C.
This solution represents the etch-rate of 12 A/min of clocks.
(d) thanomin (0.066M), H 2o 2(1.00M), pH8.9,20 DEG C.
This solution does not represent obvious etching.

Claims (26)

1. a wet etching composite, it comprises the aqueous solution, and described etching composite comprises:
(a) bidentate, three teeth or four tooth complexing agents, it is selected from the group be made up of diamines, triamine and tetramine; With
(b) oxygenant, wherein
The pH value of described etching composite is between 7 and 10.5, and wherein said etching composite can isotropic etching copper, so that the reflectivity every 1 of institute's etching copper surfaces, the etch copper reduction of 000 dust institute is no more than 15%, and wherein said wet etching composite is in fact containing unidentate ligand and the ligand had more than 4 teeth.
2. wet etching composite according to claim 1, wherein said composite can with the etch-rate etch copper of at least 1,000 A/min of clock.
3. wet etching composite according to claim 1, wherein said composite can remove the copper of at least 1,000 dust from substrate, and does not increase the surfaceness in institute's etch copper region.
4. wet etching composite according to claim 1, wherein said oxidant package is containing hydrogen peroxide (H 2o 2).
5. wet etching composite according to claim 1, wherein said complexing agent comprises diamines.
6. wet etching composite according to claim 5, wherein said diamines is quadrol (H 2nCH 2cH 2nH 2) and N-methyl ethylenediamine (H 3cNHCH 2cH 2nH 2) middle at least one.
7. wet etching composite according to claim 1, wherein said complexing agent comprises triamine.
8. wet etching composite according to claim 7, wherein said triamine is diethylenetriamine (H 2nCH 2cH 2nHCH 2cH 2nH 2).
9. wet etching composite according to claim 1, wherein said complexing agent comprises tetramine.
10. wet etching composite according to claim 9, wherein said tetramine is three (2-amino-ethyl) amine (N (CH 2cH 2nH 2) 3).
11. wet etching composites according to claim 1, the wherein said aqueous solution comprises quadrol and hydrogen peroxide.
12. wet etching composites according to claim 1, wherein said composite comprises pH value regulator.
13. wet etching composites according to claim 12, wherein said pH value regulator comprises sulfuric acid.
The method of the cupric part of the semiconducter substrate that 14. 1 kinds of parts etching cupric district are made, described method comprises:
A semiconducter substrate that the part of () receiving package cupric exposure zone is made, and
B () contacts with the wet etch solution of pH value in the scope between 5 with 12 by making described substrate, etch the copper on described substrate, wherein said solution comprises: (i) bidentate, three teeth or four tooth complexing agents, and it is selected from the group be made up of diamines, triamine and tetramine; (ii) oxygenant, wherein said wet etch solution is not in fact containing unidentate ligand and the ligand had more than 4 teeth, wherein said wet etch solution can isotropic etching copper, so that the reflectivity every 1 of institute's etching copper surfaces, the etch copper reduction of 000 dust institute is no more than 15%, and wherein makes described substrate contact be selected from by the following group formed: be sprayed on the substrate of rotation by described etching solution; Contact spin coating; Contact in film reactor with described etching solution with making described substrate.
15. methods according to claim 14, wherein said wet etch solution comprises pH value regulator in addition.
16. methods according to claim 14, wherein said complexing agent comprises diamines.
17. methods according to claim 14, wherein said diamines is selected from the group be made up of quadrol or N-methyl ethylenediamine.
18. methods according to claim 14, wherein said wet etch solution comprises quadrol and hydrogen peroxide.
19. methods according to claim 18, wherein said wet etch solution comprises pH value regulator further.
20. methods according to claim 19, wherein said wet etch solution has the pH value between 6 and 10.
21. methods according to claim 14, wherein said complexing agent is selected from the group be made up of triamine and tetramine.
22. methods according to claim 14, wherein said etch copper region comprises copper capping layer.
23. methods according to claim 14, wherein the speed of at least 1,000 A/min of clock etches described copper.
24. methods according to claim 14, its pH value comprised further by increasing described wet etch solution stops described etching process.
25. methods according to claim 24, the pH value wherein increasing described wet etch solution comprises and is added in described wet etch solution by alkaline pH adjuster.
26. methods according to claim 14, wherein said contact comprises and is sprayed on the substrate of described rotation by described wet etch solution.
CN200910164003.8A 2009-08-03 2009-08-03 For the etching composite of isotropy copper etching Active CN101988198B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN200910164003.8A CN101988198B (en) 2009-08-03 2009-08-03 For the etching composite of isotropy copper etching

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200910164003.8A CN101988198B (en) 2009-08-03 2009-08-03 For the etching composite of isotropy copper etching

Publications (2)

Publication Number Publication Date
CN101988198A CN101988198A (en) 2011-03-23
CN101988198B true CN101988198B (en) 2016-01-13

Family

ID=43744982

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910164003.8A Active CN101988198B (en) 2009-08-03 2009-08-03 For the etching composite of isotropy copper etching

Country Status (1)

Country Link
CN (1) CN101988198B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102634801B (en) * 2012-04-27 2013-11-13 东莞市广华化工有限公司 Low-acidity acidic etching regenerant and acidic etching mother liquor thereof
CN105780002B (en) * 2016-03-11 2018-05-01 昆山市板明电子科技有限公司 Copper surface is roughened micro etching solution and its application process
CN110042425A (en) * 2019-04-23 2019-07-23 博罗县华盈科技有限公司 A kind of heavy process for copper of alkaline etching waste liquid for producing direct electrowinning
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1592548A (en) * 2003-06-10 2005-03-09 三菱瓦斯化学株式会社 Method for mfg. printed circuitboard
CN1854343A (en) * 2005-04-26 2006-11-01 李德良 Copper etching liquid and its circulative usage

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1592548A (en) * 2003-06-10 2005-03-09 三菱瓦斯化学株式会社 Method for mfg. printed circuitboard
CN1854343A (en) * 2005-04-26 2006-11-01 李德良 Copper etching liquid and its circulative usage

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
碱性蚀刻液影响因素的研究;莫凌等;《表面技术》;20090228;第38卷(第1期);第54-56页 *

Also Published As

Publication number Publication date
CN101988198A (en) 2011-03-23

Similar Documents

Publication Publication Date Title
CN104658904B (en) For preparing the concentrate solution for the wet etching solution for being used for copper etching
KR100396883B1 (en) Slurry for chemical mechanical polishing and manufacturing method of copper metal interconnection layer using the same
TW480661B (en) Planarized copper cleaning for reduced defects
JP3907151B2 (en) Manufacturing method of semiconductor device
US20140017893A1 (en) Cmp polishing liquid and method for polishing substrate using the same
US7279423B2 (en) Forming a copper diffusion barrier
US6632377B1 (en) Chemical-mechanical planarization of metallurgy
WO2003018714A1 (en) Abrasive free formulations for chemical mechanical polishing of copper and assocated materials and method of using the same
CN101988198B (en) For the etching composite of isotropy copper etching
KR101126509B1 (en) Etching formulations for isotropic copper etching
EP2711977B1 (en) Manufacture of coated copper pillars
JP3033574B1 (en) Polishing method
US20030166339A1 (en) CMP system for metal deposition
US20040110374A1 (en) Copper activator solution and method for semiconductor seed layer enhancement
JP2006120870A5 (en)
TWI259201B (en) Slurry for metal polishing and method of polishing with the same
US6908851B2 (en) Corrosion resistance for copper interconnects
Steigerwald A fundamental study of chemical mechanical polishing of copper thin films
JP2008153246A (en) Process for fabricating semiconductor device
CN104745085A (en) Chemical mechanical polishing solution for cobalt barrier layer polishing
JP5605535B2 (en) Etching formulation for isotropic copper etching
TW201104019A (en) Etching formulations for isotropic copper etching
CN1373901A (en) Fabrication process for dishing-free c damascene structures
JP2008263003A (en) Substrate treatment method
KR102670882B1 (en) Method for manufacturing wiring structure, copper displacement plating solution, and wiring structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant