CN101630653A - Method of manufacturing microelectronic device and semiconductor device applying the method - Google Patents

Method of manufacturing microelectronic device and semiconductor device applying the method Download PDF

Info

Publication number
CN101630653A
CN101630653A CN200910000109.4A CN200910000109A CN101630653A CN 101630653 A CN101630653 A CN 101630653A CN 200910000109 A CN200910000109 A CN 200910000109A CN 101630653 A CN101630653 A CN 101630653A
Authority
CN
China
Prior art keywords
silicon
layer
trench isolation
nitride layer
shallow trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200910000109.4A
Other languages
Chinese (zh)
Inventor
许俊豪
谢佳达
吴俊沛
李俊鸿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101630653A publication Critical patent/CN101630653A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Element Separation (AREA)

Abstract

The present disclosure provides a method of manufacturing a microelectronic device and a semi-conductor device applying the method. The method includes forming recessed shallow trench isolation (STI) features in a semiconductor substrate, defining a semiconductor region between adjacent two of the recessed STI features; forming a tunnel dielectric feature within the semiconductor region; forming a nitride layer on the recessed STI features and the tunnel dielectric feature; etching the nitride layer to form nitride openings within the recessed STI features; partially removing the recessed STI features through the nitride openings, resulting in gaps between the nitride layer and the recessed STI features; and forming a first dielectric material on surfaces of the nitride layer, sealing the nitride openings. The semi-conductor device includes recessed shallow trench isolation features, a tunnel dielectric feature, a silicon nitride layer, and silicon oxide layer.

Description

Make the method for microelectronic device and the semiconductor device of adopting said method
Technical field
The present invention relates to a kind of semiconductor device and manufacture method thereof, particularly relate to a kind of non-flat semiconductor memory (memory is a memory body, below all be called memory) device and manufacture method thereof.
Background technology
At integrated circuit (Integrated Circuit; IC) in the device, present non-planar NAND gate (NAND) memory architecture is to use shallow trench isolation (the Shallow TrenchIsolation of depression; STI) form finfet-like effect transistor (FinFET-Like) memory cell, use and overcome the yardstick obstacle (ScalingBarrier) that surmounts 45 nm technology node in the plane NAND gate memory.Yet nitride in the finfet-like effect transistor unit stores layer and contact with the oxide material of shallow trench isolation, when the nitride storage layer is being conducted electricity, can increase considering that electric charge keeps.
This shows that above-mentioned existing finfet-like effect transistor memory cell and manufacture method thereof obviously still have inconvenience and defective, and demand urgently further being improved in product structure, method and use.In order to solve the problem of above-mentioned existence, relevant manufacturer there's no one who doesn't or isn't seeks solution painstakingly, but do not see always that for a long time suitable design finished by development, and common product and method do not have appropriate structure and method to address the above problem, and this obviously is the problem that the anxious desire of relevant dealer solves.Therefore how to found a kind of method of new manufacturing microelectronic device and the semiconductor device of adopting said method, real one of the current important research and development problem that belongs to, also becoming the current industry utmost point needs improved target.
Therefore, need provide a kind of non-planar memory cell and manufacture method thereof that does not have the improvement of above-mentioned shortcoming.
Summary of the invention
The objective of the invention is to, overcome the defective that existing finfet-like effect transistor memory cell manufacture method exists, and provide a kind of method of new manufacturing microelectronic device, technical problem to be solved is to make it utilize the nitride etching layer to form the nitride opening, by this nitride opening portion remove the shallow trench isolation feature that is positioned under the nitride layer, make and form the gap between the packing material of nitride layer and shallow trench isolation feature, can avoid increasing considering that electric charge keeps, be very suitable for practicality.
Another object of the present invention is to, overcome the defective that existing finfet-like effect transistor memory cell manufacture method exists, and provide a kind of method of new manufacturing microelectronic device, technical problem to be solved is to make it utilize the silicon nitride layer of etching high silicon content to form opening, be positioned at trench isolation feature under the open bottom by this opening etching, contacting between the silicon nitride layer that reduces high silicon content and the packing material of trench isolation feature, can avoid increasing considering that electric charge keeps, thereby be suitable for practicality more.
A further object of the present invention is, overcome the defective that existing finfet-like effect transistor memory cell exists, and provide a kind of semiconductor device of new structure, technical problem to be solved is to make it to be positioned at the silicon oxide layer of shallow-channel isolation region, intert between shallow trench isolation feature and silicon nitride layer, wherein silicon oxide layer isolates the sidewall of silicon nitride layer from semiconductor substrate, forms by this can to reduce electric charge and keep the structure of considering, thereby is suitable for practicality more.
The object of the invention to solve the technical problems realizes by the following technical solutions.A kind of method of making microelectronic device according to the present invention's proposition, it may further comprise the steps at least: the shallow trench isolation features that form most depressions in the semiconductor base material, and definition semiconductor district in the shallow trench isolation feature of those depressions adjacent the two; Form one and wear the tunnel dielectric characterization within this semiconductor region; Forming the mononitride layer wears on the tunnel dielectric characterization in the shallow trench isolation feature of those depressions and this; This nitride layer of etching is to form in the shallow trench isolation feature that a plurality of nitride are opened on those depressions; Partly remove the shallow trench isolation feature of those depressions by those nitride openings, and produce most gaps between between the shallow trench isolation feature of this nitride layer and those depressions; And form most the surfaces of one first dielectric material, and seal those nitride openings in this nitride layer.
The object of the invention to solve the technical problems also can be applied to the following technical measures to achieve further.
The method of aforesaid manufacturing microelectronic device comprises more at least: after this partly removes the step of shallow trench isolation feature of those depressions; And before this forms the step of this first dielectric material, form a thin dielectric layer in those surfaces of this nitride layer and most sidewalls of this semiconductor substrate.
The method of aforesaid manufacturing microelectronic device, the step of wherein said formation one thin dielectric layer comprises: use basic root oxidation process to form a thin silicon oxide layer.
The method of aforesaid manufacturing microelectronic device, the step of the shallow trench isolation feature of most depressions of wherein said formation comprises: form most irrigation canals and ditches in this semiconductor substrate; And one second dielectric material is filled in those irrigation canals and ditches with chemical vapour deposition technique; Wherein should comprise with the high density plasma CVD method and form silica with the step that chemical vapour deposition technique is filled in those irrigation canals and ditches with this second dielectric material.
The method of aforesaid manufacturing microelectronic device, the wherein said tunnel dielectric characterization of wearing includes silica.
The method of aforesaid manufacturing microelectronic device, the step of wherein said formation mononitride layer comprises: the silicon nitride layer that forms a high silicon content.
The method of aforesaid manufacturing microelectronic device, the step of wherein said this nitride layer of etching comprises: form a non-conformal polymeric layer on this nitride layer, wherein this non-conformal polymeric layer is a self-aligned shade; Utilize plasma dry etch to cut to wear this nitride layer on the shallow trench isolation feature that is positioned at those depressions; And remove this non-conformal polymeric layer.
The method of aforesaid manufacturing microelectronic device, the wherein said step that partly removes the shallow trench isolation feature of those depressions comprises: impose on the shallow trench isolation feature that wet corrosion is engraved on those depressions by this nitride opening.
The object of the invention to solve the technical problems also realizes by the following technical solutions.A kind of method of making microelectronic device according to the present invention's proposition, it may further comprise the steps at least: a silicon substrate is provided, wherein this silicon substrate has the trench isolation feature of a depression that is arranged in a dielectric regime, and the position is adjacent to the silicon structure feature in the silicon structure district of this dielectric regime; Form one and wear the tunnel dielectric characterization within this silicon structure district on this silicon substrate; The silicon nitride layer that forms a high silicon content is on this silicon substrate; The silicon nitride layer of this high silicon content of etching is opened within this dielectric regime to form one; By this opening etching should depression trench isolation feature to form the gap between the trench isolation feature of the silicon nitride layer of this high silicon content and this depression; And form one first dielectric material, and on the sidewall of this silicon structure feature in the silicon nitride layer of this high silicon content.
The object of the invention to solve the technical problems also can be applied to the following technical measures to achieve further.
The method of aforesaid manufacturing microelectronic device, the step of wherein said formation one first dielectric material comprises: use basic root oxidation process to form a thin silicon oxide layer; And form a high-temperature oxide layer on this thin silicon oxide layer.
The method of aforesaid manufacturing microelectronic device, the step that the tunnel dielectric characterization is worn in wherein said formation one comprises: form one silica layer on this silicon structure feature.
The object of the invention to solve the technical problems realizes in addition more by the following technical solutions.According to a kind of semiconductor device that the present invention proposes, it comprises at least: the shallow trench isolation feature of a depression, be formed on the semiconductor base material, and wherein the shallow trench isolation characterizing definition of this depression goes out a shallow-channel isolation region and semiconductor district; One wears tunnel oxide feature, is located within this semiconductor region on this semiconductor substrate; One silicon nitride layer is located on this semiconductor substrate, and wear on the shallow trench isolation feature of tunnel oxide feature and this depression at this position; And one silica layer, be positioned within this shallow-channel isolation region, and intert between the shallow trench isolation feature and this silicon nitride layer of this depression, wherein this silicon oxide layer isolates the sidewall of this silicon nitride layer from this semiconductor substrate.
The object of the invention to solve the technical problems also can be applied to the following technical measures to achieve further.
Aforesaid semiconductor device, wherein said silicon oxide layer are that a hole that defines between the shallow trench isolation feature of this silicon oxide layer and this depression is set.
Aforesaid semiconductor device, wherein said silicon nitride layer comprises the silicon nitride of the high silicon content with conductivity.
Aforesaid semiconductor device more comprises: a dielectric characterization, be located on this silicon nitride layer, and wherein this dielectric characterization comprises one of them of silica and aluminium oxide.
The present invention compared with prior art has tangible advantage and beneficial effect.By above technical scheme as can be known, major technique of the present invention thes contents are as follows:
For achieving the above object, the invention provides a kind of method of making microelectronic device, at least comprise: in semiconductor substrate, form the shallow trench isolation feature of a plurality of depressions, define by this semiconductor district in the shallow trench isolation feature of a plurality of depressions adjacent the two; In this semiconductor region, form and wear the tunnel dielectric characterization; Shallow trench isolation feature in depression forms nitride layer with wearing on the tunnel dielectric characterization; The nitride etching layer forms a plurality of nitride openings within the shallow trench isolation feature of depression; Partly remove the shallow trench isolation feature of depression by these a little nitride openings, and between the shallow trench isolation feature of nitride layer and depression, produce a plurality of gaps; And form first dielectric material, and sealing nitride opening on the surface of aforementioned nitride layer.
In addition, in order to achieve the above object, the present invention also provides a kind of method of making microelectronic device, at least comprise: the trench isolation feature with depression and the silicon substrate of silicon structure feature are provided, wherein Ao Xian trench isolation feature and silicon structure feature lay respectively in dielectric regime and the silicon structure district, and the silicon structure district is adjacent with the dielectric regime; Form in the silicon structure district on silicon substrate and wear the tunnel dielectric characterization; On silicon substrate, form the silicon nitride layer of high silicon content; The silicon nitride layer of etching high silicon content is used and form opening within the dielectric regime; By the etching notched trench isolation feature of aforementioned opening, use and between the trench isolation feature of the silicon nitride layer of high silicon content and depression, form a gap; And form on the sidewall of the silicon nitride layer of the first dielectric material high silicon content and silicon structure feature.
Moreover for achieving the above object, the present invention provides a kind of semiconductor device again, comprises at least: the shallow trench isolation feature of depression, wear tunnel oxide feature, silicon nitride layer and silicon oxide layer.Wherein Ao Xian shallow trench isolation feature is formed on the semiconductor substrate, and the shallow trench isolation characterizing definition of depression goes out shallow-channel isolation region and semiconductor region.Be provided in a side of in the semiconductor region on the semiconductor substrate and wear tunnel oxide feature.Silicon nitride layer is provided in a side of on the semiconductor substrate, and is positioned on the shallow trench isolation feature of wearing tunnel oxide feature and depression.Then be positioned at shallow-channel isolation region as for silicon oxide layer, and intert between the shallow trench isolation feature and silicon nitride layer of depression, silicon oxide layer isolates the sidewall of silicon nitride layer from semiconductor substrate.
By technique scheme, the present invention makes the method for microelectronic device and the semiconductor device of adopting said method has following advantage and beneficial effect at least: the present invention is in present non-planar NAND gate memory architecture, by reducing considering that electric charge is kept in the semiconductor device, can improve the performance of semiconductor device whole efficiency.
In sum, the invention relates to a kind of method of microelectronic device and semiconductor device of adopting said method made.The method that this makes microelectronic device comprises: shallow trench isolation (the Shallow Trench Isolation that forms depression; STI) feature is in semiconductor substrate, and the definition semiconductor region in the STI of a plurality of depressions feature adjacent the two; Formation is worn the tunnel dielectric characterization in semiconductor region; Form nitride layer in the STI feature of depression and wear on the tunnel dielectric characterization; The nitride etching layer is opened in the STI feature of depression to form nitride; By the nitride opening portion remove the STI feature of depression, and produce the gap between between the STI of nitride layer and depression feature; And form the surface of first dielectric material in nitride layer, seal the nitride opening.The present invention also provides a kind of semiconductor device of adopting said method.The present invention has obvious improvement technically, has tangible good effect, really is a new and innovative, progressive, practical new design.
Above-mentioned explanation only is the general introduction of technical solution of the present invention, for can clearer understanding technological means of the present invention, and can be implemented according to the content of specification, and for above-mentioned and other purposes, feature and advantage of the present invention can be become apparent, below especially exemplified by preferred embodiment, and conjunction with figs., be described in detail as follows.
Description of drawings
Fig. 1 to Fig. 8 is the generalized section of each process stage of the preferred embodiment of semiconductor memory system of the present invention.
100: semiconductor memory system 110: silicon substrate
112: semiconductor ridge (semiconductor island) 114: isolation structure
116: wear tunnel oxide feature 118: nitride stores layer
119: non-conformal polymeric layer 120: opening
122: gap 124a: thin dielectric layer
124b: thin dielectric layer 124c: thin dielectric layer
126: dielectric layer 128: hole
130: polysilicon layer
Embodiment
Reach technological means and the effect that predetermined goal of the invention is taked for further setting forth the present invention, below in conjunction with accompanying drawing and preferred embodiment, the method of the manufacturing microelectronic device that foundation the present invention is proposed and its embodiment of semiconductor device, method, step, structure, feature and the effect thereof of adopting said method, describe in detail as after.
Relevant aforementioned and other technology contents, characteristics and effect of the present invention can clearly present in the detailed description of graphic preferred embodiment is consulted in following cooperation.For convenience of description, in following embodiment, components identical is represented with identical numbering.
Some embodiments of the present invention will be described in detail as follows.Yet except following description, the present invention can also be widely implements at other embodiment, and protection scope of the present invention is not subjected to the qualification of embodiment, and its protection range with claim is as the criterion.Moreover for clearer description being provided and being more readily understood the present invention, graphic interior each several part is not drawn according to its relative size, and some size is compared with other scale dependents and exaggerated; Incoherent detail section does not show fully yet, in the hope of graphic succinct.
In non-volatile memory device, the NAND gate memory cell has the various benefits that comprise the good and minification of efficient.At present, non-planar NAND gate memory architecture is the structure with a similar fin formula field-effect transistor (FinFET Transistors) in the use, and the shallow slot isolation structure with depression.Yet, directly contact as the silicon nitride layer of the NAND gate memory cell of electric charge acquisition (Charge Trap) with the oxide material of shallow trench isolation, and considering of keeping of increase electric charge.The invention provides a kind of non-planar memory cell and the manufacture method thereof relevant with the problems referred to above.
Fig. 1 to Fig. 8 is the generalized section of each process stage of the preferred embodiment of semiconductor memory system of the present invention.The integral body that non-flat semiconductor storage arrangement 100 and manufacture method thereof see also Fig. 1 to Fig. 8 is described below.
See also shown in Figure 1ly, the semiconductor memory system 100 of preferred embodiment of the present invention comprises silicon substrate 110.In other embodiments, silicon substrate 110 can selectivity or is comprised other semi-conducting materials as germanium (Germanium), GaAs (Gallium Arsenic) and diamond extraly.
Semiconductor memory system 100 also comprises semiconductor ridge (Semiconductor Ridges; Or claim semiconductor island) 112 and isolation structure 114.Be interspersed with an isolation structure between the two adjacent semiconductor ridges.Semiconductor ridge 112 includes silicon.The semiconductor ridge also includes the various doping features that are designed to have various functional characters, for example source electrode and drain region, and wherein various doping features are with doping method, for example ion is implanted, and is formed in suitable fabrication steps.Isolation structure 114 is that end face by semiconductor ridge 112 is to lower recess.Isolation structure 114 includes silica.Isolation structure optionally comprises the various combinations of silica, silicon nitride and silicon oxynitride.In one embodiment, semiconductor ridge 112 is formed by the existing known processing procedure that is called shallow trench isolation with isolation structure 114.In the method for shallow trench isolation, semiconductor crystal wafer utilizes dry type and/or wet etch process to form various irrigation canals and ditches and semiconductor ridge.Then in the irrigation canals and ditches to comprise chemical vapour deposition (CVD) (Chemical Vapor Deposition; CVD) method is inserted dielectric material as silica at interior processing procedure.For example, utilize high density plasma CVD (High Density Plasma CVD; HDPCVD) method is inserted silica in the irrigation canals and ditches.In another embodiment, high-aspect-ratio process chemistry vapour deposition process (High Aspect Ratio ProcessCVD; HARP is developed by Applied Materials) be to use ozone-tetraethoxysilane (Ozone-Tetraethyl Orthosilicate; Ozone-TEOS) predecessor is inserted silica in the irrigation canals and ditches.Fill isolation trenches make isolation structure 114 by the top surface of semiconductor ridge 112 to lower recess.In one embodiment, fill isolation trenches, remove the isolation structure (channel isolating structure) 114 that filler forms depression with selective etch then.In one embodiment, each semiconductor ridge is configured to form most NAND gate memory cells on a straight line, so it can be described as and non-string (NANDString).In Fig. 1, only illustrate the usefulness that two embodiment with non-string are used as explanation.
See also shown in Figure 1ly equally, semiconductor memory system 100 also can comprise being arranged on and wear tunnel oxide (tunnel oxide) feature 116 on the semiconductor ridge 112.In order to have suitable tunneling effect (Tunneling Effect), wear the preset thickness that tunnel oxide feature 116 includes according to Design of device.In various embodiments, wearing tunnel oxide feature 116 is to utilize thermal oxidation (ThermalOxidation) or basic root oxidation (Radical Oxidation) processing procedure to make, therefore can self-aligned (Self-Aligned) semiconductor ridge.Wearing tunnel oxide feature 116 can utilize lithography method patterning silicon oxide layer to make optionally by the deposition one silica layer then.In other embodiments, for the integrality of optimization tunneling effect and device, wear tunnel oxide feature and include most layers.
Semiconductor memory system 100 more includes nitride and stores layer 118, is arranged on the isolation structure 114 of wearing tunnel oxide feature 116 and depression.Nitride stores layer 118 and includes silicon nitride.In embodiment further, nitride stores layer 118 and comprises that one has silicon nitride (Silicon-Rich Nitride) layer of the high silicon content of conductivity.Wherein a kind of method of making nitride storage layer is to utilize to comprise chlordene silane (Hexachlorodisilane; HCD, Si 2Cl 6), dichloro silicomethane (Dichlorosilance; DCS, SiH 2Cl 2), dual-tert-butyl amino silane (Bis (TertiaryButyAmino) Silane (BTBAS, C 8H 22N 2Si)), reach disilane (Disilane; DS, Si 2H 6) the chemical vapor deposition process of predecessor.Adjusting the dividing potential drop of predecessor or its corresponding flow rate can make nitride store the ratio that silicon/nitride in the layer reaches expection.
See also shown in Figure 4ly, nitride etching stores layer 118 to form opening 120 within the zone of the isolation structure of depression, makes one to be independent of outside another with non-string.In one embodiment, the etch process that is applied in 118 etching of nitride storage layer further comprises the self-aligned etch process that Fig. 2 and Fig. 3 describe.See also shown in Figure 2ly, for etching of silicon nitride, non-conformal polymeric layer (Non-Conformal Polymer Layer) 119 is deposited on the semiconductor memory system 100 to form self-aligned shade (Mask).Then, see also shown in Figure 3ly, use the self-aligned shade, plasma dry etch (plasma dry etching) processing procedure is applied on the semiconductor memory system 100, store layers 118 with the nitride of etching between adjacent and non-string.In one embodiment, the silicon nitride etch processing procedure uses fluorine-containing plasma.Then, divest method (Dry Ashing) by dry type and/or wet-cleaned (Wet Cleaning) removes the self-aligned shade.See also shown in Figure 4ly, form nitride and store opening in the layer 118, with adjacent and non-string by isolating in another.Opening 120 optionally by using little shadow patterning process to form the photoresistance pattern, uses the photoresistance pattern to make as etching shade etches both silicon nitride layer then.
See also shown in Figure 5ly, an etch process (as wet etching) is applied on the semiconductor memory system 100, partly removes the feature of isolation structure 114 by opening 120, flatly forms gap 122 on 112 on semiconductor ridge.In one embodiment, use buffered hydrofluoric acid (BufferedHydrofluoric Acid; HF) isolation characteristic of etch silicon dioxide is to form gap 122.
See also shown in Figure 6ly, form a thin dielectric layer 124 stores layer 118 in nitride surface.More particularly, thin dielectric layer 124a is formed at the upper surface that nitride stores layer 118, and thin dielectric layer 124b is formed at the lower surface that nitride stores layer 118.In addition, thin dielectric layer 124c also is formed at the sidewall of the semiconductor ridge 112 in the gap.In one embodiment, thin dielectric layer 124 includes silica.In embodiment further, the thin dielectric layer of silica is to utilize basic root oxidation process that the nitride of a part is stored layer to be oxidized to silicon oxide layer and to form.
See also shown in Figure 7ly, dielectric layer 126 is to be formed at the surface that nitride stores layer 118.In one embodiment, dielectric layer 126 is to be formed on the thin dielectric layer 124, makes that opening 120 is sealed, and the generation level is between semiconductor ridge 112, and vertical hole (Voids) 128 between nitride storage layer 118 and isolation structure 114.In one embodiment, dielectric layer 126 is to be formed on each different parts of thin dielectric layer 124, comprises thin dielectric layer 124a upper part, thin dielectric layer 124b lower part, and the sidewall sections of thin dielectric layer 124c.Dielectric layer 126 is on the thin dielectric layer 124 that is formed at via opening 120 in opening and the hole.In addition, dielectric layer 126 is formed on the isolation structure 114 simultaneously.In one embodiment, dielectric layer 126 includes high-temperature oxide (HighTemperature Oxide; HTO).In embodiment further, silica is to utilize chemical vapor deposition process, for example low-pressure chemical vapor deposition (Low Pressure CVD; LPCVD) method cooperates temperature high deposition to form.In one embodiment, the temperature essence of chemical vapour deposition technique is higher than 750 ℃.For example, the temperature of chemical vapour deposition technique is that essence is between 750 ℃ and 1100 ℃.
In one embodiment, use basic root oxidation process on semiconductor memory system 100, nitride is stored layer 118 part convert silica to, with as except the dielectric layer 126 of high temperature oxide layer, oxide/nitride/oxide (Oxide-Nitride-Oxide; ONO) part of the upper oxide of structure.Utilize basic root oxidation process to cooperate adjusted processing parameter can make the upper oxide of oxide/nitride/oxide structure reach preset thickness.
See also shown in Figure 8ly, polysilicon layer 130 is to be deposited on the dielectric layer 126 of oxide skin(coating), and further patterning to form gate electrode.In one embodiment, gate electrode can utilize chemical vapour deposition technique to form, and imposes doping after deposition.The formation of gate electrode can comprise that other are as ion implantation that is used for polysilicon doping and/or the annealing process that is used for silicification (Silicidation).In chemical vapor deposition process, polysilicon layer optionally forms with (In-Situ) doping way of coming personally.In other embodiments, distance piece (Spacers) can be adjacent to be formed on by the polysilicon gate electrode.In the deposition process of distance piece, the hole of sealing can completely or partially be filled.
Other processing procedures can be used to form various device characteristics, and for example ion implantation manufacture process can be used to form source electrode and drain feature.In other embodiments, the silicification processing procedure is applied to have the metal silicide of the contact resistance of reduction with formation in source electrode and the drain feature.The silicification processing procedure can be applied in polysilicon gate electrode and the source/drain regions simultaneously.
Therefore, the invention provides a kind of integrated memory circuitry and manufacture method thereof.The storage arrangement that forms comprises the NAND gate memory cell with nitride preservative feature, and wherein the nitride preservative feature is fully packaged by the oxide of high-quality high-temperature oxide dielectric material and/or basic root oxidation process.Can reduce or eliminate by this and store the relevant electric charge of direct contact between the layer with trench isolation feature and nitride and keep and reliability issues.Other various variations in this spirit and scope are all consistent and fall into scope of the present invention with the present invention.For example, use this method to form NAND gate memory cell with low spill and leakage problem.This method also can be used in other application with similar kenel and spill and leakage problem.The present invention can be used to form silicon/oxide/nitride/oxide/silicon (Silicon-Oxide-Nitride-Oxide-Silicon; SONOS) NAND gate memory cell.In other embodiments, the method similarly can be used to form silicon-oxide-nitride silicon-alundum (Al-tantalum nitride (Si-Oxide-SiN-Al 2O 3-TaN; TANOS) NAND gate memory cell.For example, be formed at the upper oxide layer that nitride stores on the layer and comprise alundum (Al, it is one to have the dielectric material of high-dielectric coefficient.In other embodiments, gate electrode comprises the metal or metal alloy that has the high workload function and/or have lower electrons tunnel effect in word line is erased process (tantalum nitride for example; TaN).Gate electrode can be additionally or is optionally comprised other suitable electric conducting materials as tungsten and tungsten nitride.
In another embodiment, silicon substrate 110 can comprise composite semiconductor material, for example carborundum, GaAs, indium arsenide and indium phosphide.Silicon substrate 110 can comprise the alloy semiconductor material, for example SiGe, silicon germanium carbide (Silicon Germanium Carbide), gallium arsenide phosphide (Gallium ArsenicPhosphide) and gallium indium phosphorus compound (Gallium Indium Phosphide).Silicon substrate 110 also can comprise the semi-conducting material that is positioned on the insulation system, for example silicon-on-insulator (Silicon-On-Insulator; SOI) base material.In another embodiment, silicon substrate 110 comprises the structure of comprehensive silicon structure or MULTILAYER COMPOSITE semi-conducting material.Semiconductor device more can comprise other functional devices and/or pseudo-characteristic (Dummy Features).For example, semiconductor device comprises the transistor of metal oxide semiconductcor field effect transistor (MOSFET) or other patterns, as fin formula field-effect transistor (FinFET), and Laterally Diffused Metal Oxide Semiconductor (Lateral Diffused MOS; LDMOS), vertical proliferation metal-oxide semiconductor (MOS) (Vertical Diffused MOS; And/or stress metal oxide semiconductor (Strained MOS) structure VDMOS).In another embodiment, can be in base material dry ecthing one irrigation canals and ditches, establish aforesaid irrigation canals and ditches with the thermal oxide lining, then with as the aforesaid irrigation canals and ditches of the filling insulating material of silica, silicon nitride or silicon oxynitride, with the formation shallow slot isolation structure.The irrigation canals and ditches of filling can have sandwich construction, for example high density plasma CVD (High Density Plasma CVD; HDPCVD) silica and undoped silicon glass (Undoped Silica Glass; USG).
Semiconductor device of the present invention comprises that more configuration and combination form the various conductive features of integrated circuit.In one embodiment, semiconductor device comprises as the contact hole of vertical connection and interlayer hole, and as the metal wire of horizontal wiring, integral body is called the multiple layer inner connection line.In one embodiment, the multiple layer inner connection line can comprise the combination of the aluminium, aluminium/silicon/copper alloy, titanium, titanium nitride, tungsten, polysilicon, metal silicide or the previous materials that are used in 0.18mm or larger sized technology node.The aluminium intraconnections can utilize the combination of sputter (Sputtering) method, chemical vapour deposition technique or aforementioned techniques to deposit.Other are made processing procedure (comprising little shadow and etching) and can be used to patterning conductive material to be communicated with (call wire) as vertical (interlayer hole and contact hole) and level.Also have other manufacturing processing procedures to can be used to form metal silicide as thermal annealing.In other embodiments, can use copper multiple layer inner connection line, wherein copper multiple layer inner connection line comprises the combination of copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide or the previous materials of the technology node that is used in 0.18mm or smaller szie.Copper multiple layer inner connection line can use existing known dual damascene (Dual Damascene) processing procedure to form.
Therefore, the invention provides a kind of method of making microelectronic device.The method comprises: form irrigation canals and ditches and semiconductor ridge on semiconductor substrate, wherein each irrigation canals and ditches is to intert between two semiconductor ridges; Fill irrigation canals and ditches with first dielectric material and form the isolation characteristic of depression in irrigation canals and ditches; Use second dielectric material to form and wear tunnel dielectric characterization (Tunnel Dielectric Features) on the semiconductor ridge; Form nitride layer in the isolation characteristic of depression with wear on the tunnel dielectric characterization; The nitride etching layer forms the mononitride opening, to expose the isolation characteristic of corresponding depression in the irrigation canals and ditches on each irrigation canals and ditches; By the nitride opening portion remove the isolation characteristic of depression, under irrigation canals and ditches and nitride layer, produce the gap; And form the 3rd dielectric material in the surface of nitride layer and the sidewall of semiconductor ridge, sealing nitride opening.
In one embodiment of this invention, the method more comprises: behind the isolation characteristic that partly removes depression, form the 3rd dielectric material before, form thin dielectric layer in the surface of nitride layer and the sidewall of semiconductor ridge.The formation of thin dielectric layer can comprise uses basic root oxidation process to form thin silicon oxide layer.In other embodiments, the step with first dielectric material filling irrigation canals and ditches comprises the use chemical vapour deposition technique.The step of filling irrigation canals and ditches with first dielectric material can comprise utilizes the high density plasma CVD method to form silica.Second dielectric material can comprise silica.The formation of nitride layer can comprise the silicon nitride layer that forms high silicon content.The etching of nitride layer can comprise uses the self-aligned etch process.In one embodiment, the self-aligned etch process comprises: form non-conformable polymer material layer (Non-Conformal Polymeric Material Layer) as the self-aligned shade on nitride layer; In irrigation canals and ditches, utilize plasma dry etch to cut and wear nitride layer; And remove non-conformable polymer material layer.Partly remove and to comprise in the step of isolation characteristic of depression by the nitride opening and imposing on the isolation characteristic that wet corrosion is engraved on depression.Form in the step of the 3rd dielectric material and can comprise the formation high-temperature oxide.
The present invention also provides a kind of other embodiment that make the microelectronic device method.The method comprises: the silicon nitride layer that forms high silicon content is on the semiconductor substrate with silicon structure and dielectric regime, and wherein each silicon structure is to intert between adjacent dielectric regime, and the dielectric regime includes first dielectric material; The silicon nitride layer of etching high silicon content is opened within the dielectric regime with the silicon nitride layer that forms high silicon content; By first dielectric material in the opening etching dielectric regime, to form the gap between the silicon structure; And form second dielectric material on the sidewall of the silicon nitride layer of high silicon content and silicon structure.
In an embodiment of said method, the step that forms second dielectric material comprises uses basic root oxidation process to form thin silicon oxide layer; And form high-temperature oxide on thin silicon oxide layer.In other embodiments, the method more comprises: before the silicon nitride layer that forms high silicon content, form and wear the tunnel dielectric characterization on silicon structure.
The present invention also provides a kind of semiconductor device.This semiconductor device comprises: be formed at most characteristic of semiconductor on the base material; Be formed at most isolation characteristics on the base material, wherein each characteristic of semiconductor is to intert between adjacent two isolation characteristics, and most isolation characteristics are that top surface by characteristic of semiconductor is to lower recess; Silicon nitride layer is located on characteristic of semiconductor and the isolation characteristic; And silicon oxide layer, interting between silicon nitride layer and isolation characteristic, silicon oxide layer is to be used for isolating from silicon nitride layer adjacent characteristic of semiconductor.
In the various embodiment of semiconductor device of the present invention, silicon oxide layer can comprise the high-temperature oxide layer.Silicon oxide layer can comprise that the more basic root oxidation process of use is formed at the thin silicon oxide layer between high-temperature oxide layer and the silicon nitride layer.Silicon oxide layer can be provided with the hole that is used for defining between a silicon oxide layer and an isolation characteristic.Silicon nitride layer can comprise the silicon nitride of the high silicon content with conductivity.Semiconductor device more can comprise the dielectric characterization that is located on the silicon nitride layer, wherein dielectric characterization comprise silica and aluminium oxide one of them.Semiconductor device more can comprise the gate electrode that is located on the dielectric characterization, wherein gate electrode comprise doped polycrystalline silicon (Doped Poly-Silicon), titanium nitride, tungsten and tungsten nitride one of them.In other embodiments, semiconductor device comprises finfet-like effect transistor memory cell.
The above, it only is preferred embodiment of the present invention, be not that the present invention is done any pro forma restriction, though the present invention discloses as above with preferred embodiment, yet be not in order to limit the present invention, any those skilled in the art, in not breaking away from the technical solution of the present invention scope, when the technology contents that can utilize above-mentioned announcement is made a little change or is modified to the equivalent embodiment of equivalent variations, in every case be not break away from the technical solution of the present invention content, according to technical spirit of the present invention to any simple modification that above embodiment did, equivalent variations and modification all still belong in the scope of technical solution of the present invention.

Claims (15)

1, a kind of method of making microelectronic device is characterized in that it may further comprise the steps at least:
The shallow trench isolation features that form most depressions in the semiconductor base material, and definition semiconductor district in the shallow trench isolation feature of those depressions adjacent the two;
Form one and wear the tunnel dielectric characterization within this semiconductor region;
Forming the mononitride layer wears on the tunnel dielectric characterization in the shallow trench isolation feature of those depressions and this;
This nitride layer of etching is opened in the shallow trench isolation feature of those depressions to form most nitride;
Partly remove the shallow trench isolation feature of those depressions by those nitride openings, and produce most gaps between between the shallow trench isolation feature of this nitride layer and those depressions; And
Form most the surfaces of one first dielectric material, and seal those nitride openings in this nitride layer.
2, the method for manufacturing microelectronic device according to claim 1 is characterized in that comprising more at least: after this partly removes the step of shallow trench isolation feature of those depressions; And before this forms the step of this first dielectric material, form a thin dielectric layer in those surfaces of this nitride layer and most sidewalls of this semiconductor substrate.
3, the method for manufacturing microelectronic device according to claim 2 is characterized in that the step of wherein said formation one thin dielectric layer comprises: use basic root oxidation process to form a thin silicon oxide layer.
4, the method for manufacturing microelectronic device according to claim 1 is characterized in that the wherein said step that forms the shallow trench isolation feature of most depressions comprises:
Form most irrigation canals and ditches in this semiconductor substrate; And
With chemical vapour deposition technique one second dielectric material is filled in those irrigation canals and ditches;
Wherein should comprise with the high density plasma CVD method and form silica with the step that chemical vapour deposition technique is filled in those irrigation canals and ditches with this second dielectric material.
5, the method for manufacturing microelectronic device according to claim 1 is characterized in that the wherein said tunnel dielectric characterization of wearing includes silica.
6, the method for manufacturing microelectronic device according to claim 1 is characterized in that the step of wherein said formation mononitride layer comprises: the silicon nitride layer that forms a high silicon content.
7, the method for manufacturing microelectronic device according to claim 1 is characterized in that the step of wherein said this nitride layer of etching comprises:
Form a non-conformal polymeric layer on this nitride layer, wherein this non-conformal polymeric layer is a self-aligned shade;
Utilize plasma dry etch to cut to wear this nitride layer on the shallow trench isolation feature that is positioned at those depressions; And
Remove this non-conformal polymeric layer.
8, the method for manufacturing microelectronic device according to claim 1 is characterized in that the wherein said step that partly removes the shallow trench isolation feature of those depressions comprises: impose on the shallow trench isolation feature that wet corrosion is engraved on those depressions by this nitride opening.
9, a kind of method of making microelectronic device is characterized in that it may further comprise the steps at least:
One silicon substrate is provided, and wherein this silicon substrate has the trench isolation feature of a depression that is arranged in a dielectric regime, and the position is adjacent to the silicon structure feature in the silicon structure district of this dielectric regime;
Form one and wear the tunnel dielectric characterization within this silicon structure district on this silicon substrate;
The silicon nitride layer that forms a high silicon content is on this silicon substrate;
The silicon nitride layer of this high silicon content of etching is opened within this dielectric regime to form one;
By this opening etching should depression trench isolation feature to form the gap between the trench isolation feature of the silicon nitride layer of this high silicon content and this depression; And
Form one first dielectric material in the silicon nitride layer of this high silicon content, and on the sidewall of this silicon structure feature.
10, the method for manufacturing microelectronic device according to claim 9 is characterized in that the step of wherein said formation one first dielectric material comprises:
Use basic root oxidation process to form a thin silicon oxide layer; And
Form a high-temperature oxide layer on this thin silicon oxide layer.
11, the method for manufacturing microelectronic device according to claim 9 is characterized in that the step that the tunnel dielectric characterization is worn in wherein said formation one comprises: form one silica layer on this silicon structure feature.
12, a kind of semiconductor device is characterized in that it comprises at least:
The shallow trench isolation feature of one depression is formed on the semiconductor base material, and wherein the shallow trench isolation characterizing definition of this depression goes out a shallow-channel isolation region and semiconductor district;
One wears tunnel oxide feature, is located within this semiconductor region on this semiconductor substrate;
One silicon nitride layer is located on this semiconductor substrate, and wear on the shallow trench isolation feature of tunnel oxide feature and this depression at this position; And
One silica layer is positioned within this shallow-channel isolation region, and interts between the shallow trench isolation feature and this silicon nitride layer of this depression, and wherein this silicon oxide layer isolates the sidewall of this silicon nitride layer from this semiconductor substrate.
13, semiconductor device according to claim 12 is characterized in that wherein said silicon oxide layer is that a hole that defines between the shallow trench isolation feature of this silicon oxide layer and this depression is set.
14, semiconductor device according to claim 12 is characterized in that wherein said silicon nitride layer comprises the silicon nitride of the high silicon content with conductivity.
15, semiconductor device according to claim 12 is characterized in that more comprising: a dielectric characterization, be located on this silicon nitride layer, and wherein this dielectric characterization comprises one of them of silica and aluminium oxide.
CN200910000109.4A 2008-07-14 2009-01-05 Method of manufacturing microelectronic device and semiconductor device applying the method Pending CN101630653A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/172,687 US7910453B2 (en) 2008-07-14 2008-07-14 Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US12/172,687 2008-07-14

Publications (1)

Publication Number Publication Date
CN101630653A true CN101630653A (en) 2010-01-20

Family

ID=41504407

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910000109.4A Pending CN101630653A (en) 2008-07-14 2009-01-05 Method of manufacturing microelectronic device and semiconductor device applying the method

Country Status (3)

Country Link
US (1) US7910453B2 (en)
CN (1) CN101630653A (en)
TW (1) TWI377650B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103730362A (en) * 2012-10-11 2014-04-16 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
CN105990324A (en) * 2015-02-15 2016-10-05 华邦电子股份有限公司 Semiconductor element and manufacturing method thereof
CN106252351A (en) * 2015-06-08 2016-12-21 三星电子株式会社 Semiconductor device

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US7898037B2 (en) 2007-04-18 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact scheme for MOSFETs
US8883597B2 (en) * 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8283231B2 (en) 2008-06-11 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. finFET drive strength modification
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) * 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8331068B2 (en) * 2009-02-19 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. ESD protection for FinFETs
WO2010120990A1 (en) * 2009-04-15 2010-10-21 James Schroeder Personal fit medical implants and orthopedic surgical instruments and methods for making
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8357569B2 (en) 2009-09-29 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating finfet device
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8445340B2 (en) * 2009-11-19 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial offset protection film for a FinFET device
US9117905B2 (en) 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8942030B2 (en) 2010-06-25 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM cell circuit
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8609495B2 (en) 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8881084B2 (en) 2010-05-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET boundary optimization
US8621398B2 (en) 2010-05-14 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic layout conversion for FinFET device
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8278173B2 (en) 2010-06-30 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate structures
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8278196B2 (en) 2010-07-21 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. High surface dopant concentration semiconductor device and method of fabricating
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8373229B2 (en) 2010-08-30 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate controlled bipolar junction transistor on fin-like field effect transistor (FinFET) structure
US8062963B1 (en) 2010-10-08 2011-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having an epitaxy region
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9166022B2 (en) 2010-10-18 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8338305B2 (en) 2010-10-19 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device by self-aligned castle fin formation
US8486769B2 (en) 2010-11-19 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US9472550B2 (en) 2010-11-23 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusted fin width in integrated circuitry
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US8525267B2 (en) 2010-11-23 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for forming Fins in integrated circuitry
US8796124B2 (en) 2011-10-25 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Doping method in 3D semiconductor device
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
TWI555064B (en) * 2013-02-21 2016-10-21 聯華電子股份有限公司 Method for forming fin-shaped structure
US9041127B2 (en) 2013-05-14 2015-05-26 International Business Machines Corporation FinFET device technology with LDMOS structures for high voltage operations
KR102073967B1 (en) * 2013-07-30 2020-03-02 삼성전자주식회사 Semiconductor device including field effect transistor
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9564528B2 (en) * 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (en) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9799755B2 (en) 2016-03-25 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing memory device and method for manufacturing shallow trench isolation
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (en) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches of different depths and apparatus - US Pat
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
KR102558829B1 (en) * 2016-06-13 2023-07-25 삼성전자주식회사 Semiconductor device including a gate dielectric structure
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
JP7051834B2 (en) * 2016-09-30 2022-04-11 3エスエーイー テクノロジーズ インク Multi-axis relative positioning stage
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
DE102017118364B4 (en) 2016-11-29 2021-10-14 Taiwan Semiconductor Manufacturing Co. Ltd. Process with the production of source / drain and gate contacts and structure with such
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10867917B1 (en) * 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, associated method and layout
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US12046479B2 (en) 2020-08-13 2024-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-containing STI liner for SiGe channel
CN114975579A (en) 2021-02-24 2022-08-30 联华电子股份有限公司 Memory element and manufacturing method thereof
US12062692B2 (en) 2021-08-27 2024-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered dielectric layer for preventing electrical shorting between gate and back side via
US11996453B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599824B1 (en) * 2001-02-26 2003-07-29 Advanced Micro Devices, Inc. System for and method of forming local interconnect using microcontact printing
KR100559990B1 (en) 2003-12-30 2006-03-13 동부아남반도체 주식회사 Active cell isolation body of a semiconductor device and method for forming the same
JP2005243709A (en) * 2004-02-24 2005-09-08 Toshiba Corp Semiconductor device and its manufacturing method
EP1589572B1 (en) 2004-04-21 2020-03-11 Nxp B.V. Process of making an integrated circuit comprising hollow trench isolation
KR100801065B1 (en) * 2006-08-04 2008-02-04 삼성전자주식회사 Non-volatile memory device and method for manufacturing the same
KR100800910B1 (en) * 2006-12-28 2008-02-04 동부일렉트로닉스 주식회사 Method for fabricating semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103730362A (en) * 2012-10-11 2014-04-16 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
CN105990324A (en) * 2015-02-15 2016-10-05 华邦电子股份有限公司 Semiconductor element and manufacturing method thereof
CN106252351A (en) * 2015-06-08 2016-12-21 三星电子株式会社 Semiconductor device
US10505010B2 (en) 2015-06-08 2019-12-10 Samsung Electronics Co., Ltd. Semiconductor device blocking leakage current and method of forming the same

Also Published As

Publication number Publication date
US7910453B2 (en) 2011-03-22
TW201003854A (en) 2010-01-16
US20100006974A1 (en) 2010-01-14
TWI377650B (en) 2012-11-21

Similar Documents

Publication Publication Date Title
CN101630653A (en) Method of manufacturing microelectronic device and semiconductor device applying the method
TWI701830B (en) Semiconductor devices and methods for forming the same
US10529729B2 (en) Non-volatile memory device having nanocrystal floating gate and method of fabricating same
CN110098175B (en) Semiconductor device and method for manufacturing the same
US9583499B1 (en) Devices with embedded non-volatile memory and metal gates and methods for fabricating the same
US9136129B2 (en) Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology
US10269822B2 (en) Method to fabricate uniform tunneling dielectric of embedded flash memory cell
CN103000572A (en) Contact for high-K metal gate device
KR20120124706A (en) Semiconductor device and method of manufacturing the same
US9379104B1 (en) Method to make gate-to-body contact to release plasma induced charging
US11605718B2 (en) Method for preparing semiconductor structure having buried gate electrode with protruding member
US10256089B2 (en) Replacement contact cuts with an encapsulated low-K dielectric
US20150091079A1 (en) Non-volatile memory (nvm) and high-k and metal gate integration using gate-first methodology
TW202105682A (en) Semiconductor memory structure and method of manufacturing the same
JP2006509366A (en) Self-aligned shallow trench isolation with improved coupling coefficient in floating gate devices
CN104124248B (en) NOR flash memory unit for lifting common source region and preparation method thereof
TW202101599A (en) Method of forming semiconductor device
TWI815159B (en) Semiconductor device, transistor and method of forming semiconductor device
TWI768743B (en) Semiconductor device , transistor, and method of forming transistor
TWI722515B (en) Semiconductor device and method of manufacturing the same
CN103578953A (en) Method of semiconductor integrated circuit fabrication
JP6537536B2 (en) Method of fabricating uniform tunnel dielectric of embedded flash memory cell
CN112151381A (en) Semiconductor structure and forming method thereof
TWI852111B (en) Semiconductor device and methods of forming the same
KR102546906B1 (en) Finfet device and method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20100120