CN101373492B - 三维芯片热通孔和性能优化的空白区重分配方法 - Google Patents
三维芯片热通孔和性能优化的空白区重分配方法 Download PDFInfo
- Publication number
- CN101373492B CN101373492B CN2008101058678A CN200810105867A CN101373492B CN 101373492 B CN101373492 B CN 101373492B CN 2008101058678 A CN2008101058678 A CN 2008101058678A CN 200810105867 A CN200810105867 A CN 200810105867A CN 101373492 B CN101373492 B CN 101373492B
- Authority
- CN
- China
- Prior art keywords
- module
- area
- grid
- req
- heat
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Landscapes
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
Description
Claims (1)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN2008101058678A CN101373492B (zh) | 2008-05-04 | 2008-05-04 | 三维芯片热通孔和性能优化的空白区重分配方法 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN2008101058678A CN101373492B (zh) | 2008-05-04 | 2008-05-04 | 三维芯片热通孔和性能优化的空白区重分配方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101373492A CN101373492A (zh) | 2009-02-25 |
CN101373492B true CN101373492B (zh) | 2010-11-10 |
Family
ID=40447653
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008101058678A Expired - Fee Related CN101373492B (zh) | 2008-05-04 | 2008-05-04 | 三维芯片热通孔和性能优化的空白区重分配方法 |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN101373492B (zh) |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8781660B2 (en) * | 2012-04-11 | 2014-07-15 | GM Global Technology Operations LLC | Tiered, subtractive method to solve a linear constraint function associated with controlling a hybrid powertrain system |
CN103560097B (zh) * | 2013-11-20 | 2016-04-27 | 中国科学院深圳先进技术研究院 | 一种三维芯片中的布线路径优化方法 |
CN103678817B (zh) * | 2013-12-20 | 2017-05-31 | 清华大学 | 基于三维重聚的三维现场可编程门阵列的分层设计方法 |
CN104598670B (zh) * | 2014-12-23 | 2019-03-12 | 浪潮电子信息产业股份有限公司 | 一种解决fpga保持时间不满足的方法 |
CN108363897A (zh) * | 2018-05-16 | 2018-08-03 | 佛山市顺德区中山大学研究院 | 一种基于模拟退火的三维集成电路分层方法及装置 |
CN112800706B (zh) * | 2021-04-08 | 2021-07-06 | 南京集成电路设计服务产业创新中心有限公司 | 一种快速查找表线长模型可微化方法 |
-
2008
- 2008-05-04 CN CN2008101058678A patent/CN101373492B/zh not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
CN101373492A (zh) | 2009-02-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101373492B (zh) | 三维芯片热通孔和性能优化的空白区重分配方法 | |
US8020121B2 (en) | Layout method and layout apparatus for semiconductor integrated circuit | |
US5852562A (en) | Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones | |
CN108846169B (zh) | 基于最小植入区域约束的混合高度单元布局设计方法 | |
US6532572B1 (en) | Method for estimating porosity of hardmacs | |
US10831972B2 (en) | Capacity model for global routing | |
US12086523B2 (en) | Adaptive row patterns for custom-tiled placement fabrics for mixed height cell libraries | |
JP2007335850A (ja) | 半導体集積回路、半導体集積回路の配線パターン設計方法および配線パターン設計装置 | |
Stroobandt et al. | Accurate interconnection length estimations for predictions early in the design cycle | |
CN105354873A (zh) | 用于多孔介质三维重构的模式密度函数模拟算法 | |
CN102682163B (zh) | 3d集成电路自动布局中tsv位置的网格优化方法 | |
US20040216067A1 (en) | Method of determining arrangement of wire in semiconductor intergrated circuit | |
CN103838897A (zh) | 一种集成电路版图验证的层次化天线检查方法 | |
He et al. | Simultaneous buffer and interlayer via planning for 3D floorplanning | |
TW202409879A (zh) | 具有人類專家質量的基於學習的宏安置 | |
US20230274068A1 (en) | Performing non-preferred direction detailed routing followed by preferred direction global and detailed routing | |
US20230282635A1 (en) | Integrated circuit with non-preferred direction curvilinear wiring | |
US7698663B2 (en) | Apparatus, method and program for designing integrated circuit | |
Ghaida et al. | A methodology for the early exploration of design rules for multiple-patterning technologies | |
Hanchate et al. | A linear time algorithm for wire sizing with simultaneous optimization of interconnect delay and crosstalk noise | |
Zhu et al. | Floorplanning for 3D-IC with Through-Silicon via co-design using simulated annealing | |
CN108733869B (zh) | 一种大规模三维集成电路分区方法和装置 | |
Cong et al. | Thermal-aware physical design flow for 3-D ICs | |
Taghavi et al. | Tutorial on congestion prediction | |
US9230049B1 (en) | Arraying power grid vias by tile cells |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
ASS | Succession or assignment of patent right |
Owner name: TSINGHUA UNIVERSITY Effective date: 20131210 Owner name: WUXI APPLICATION TECHNOLOGY RESEARCH INSTITUTE OF Free format text: FORMER OWNER: TSINGHUA UNIVERSITY Effective date: 20131210 |
|
C41 | Transfer of patent application or patent right or utility model | ||
COR | Change of bibliographic data |
Free format text: CORRECT: ADDRESS; FROM: 100084 HAIDIAN, BEIJING TO: 214072 WUXI, JIANGSU PROVINCE |
|
TR01 | Transfer of patent right |
Effective date of registration: 20131210 Address after: 214072 Jiangsu Province Road DiCui Binhu District of Wuxi City No. 100, No. 1 building, 530 floor 12 Patentee after: WUXI RESEARCH INSTITUTE OF APPLIED TECHNOLOGIES, TSINGHUA UNIVERSITY Patentee after: Tsinghua University Address before: 100084 Haidian District 100084-82 mailbox Beijing Patentee before: Tsinghua University |
|
DD01 | Delivery of document by public notice |
Addressee: WUXI RESEARCH INSTITUTE OF APPLIED TECHNOLOGIES, TSINGHUA UNIVERSITY Document name: Notification to Pay the Fees |
|
DD01 | Delivery of document by public notice |
Addressee: WUXI RESEARCH INSTITUTE OF APPLIED TECHNOLOGIES, TSINGHUA UNIVERSITY Document name: Notification of Termination of Patent Right |
|
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20101110 Termination date: 20140504 |
|
EXPY | Termination of patent right or utility model |