CN101326629B - Process chamber for filling dielectric gap - Google Patents
Process chamber for filling dielectric gap Download PDFInfo
- Publication number
- CN101326629B CN101326629B CN2007800006449A CN200780000644A CN101326629B CN 101326629 B CN101326629 B CN 101326629B CN 2007800006449 A CN2007800006449 A CN 2007800006449A CN 200780000644 A CN200780000644 A CN 200780000644A CN 101326629 B CN101326629 B CN 101326629B
- Authority
- CN
- China
- Prior art keywords
- settling chamber
- predecessor
- inlet
- base material
- substrate holder
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 55
- 230000008569 process Effects 0.000 title claims description 46
- 238000011049 filling Methods 0.000 title description 9
- 239000000758 substrate Substances 0.000 claims abstract description 102
- 239000002243 precursor Substances 0.000 claims abstract description 88
- 238000009826 distribution Methods 0.000 claims abstract description 40
- 239000000463 material Substances 0.000 claims description 115
- 239000007789 gas Substances 0.000 claims description 41
- 229910052760 oxygen Inorganic materials 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000010703 silicon Substances 0.000 claims description 27
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 26
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 26
- 239000001301 oxygen Substances 0.000 claims description 25
- 150000003254 radicals Chemical class 0.000 claims description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 16
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 6
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 claims description 6
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 5
- 229910001882 dioxygen Inorganic materials 0.000 claims description 5
- 239000000377 silicon dioxide Substances 0.000 claims description 5
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical class CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 4
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 4
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 3
- 229910000077 silane Inorganic materials 0.000 claims description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 2
- JQZUMFHYRULBEN-UHFFFAOYSA-N diethyl(methyl)silicon Chemical compound CC[Si](C)CC JQZUMFHYRULBEN-UHFFFAOYSA-N 0.000 claims 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims 2
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 claims 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 claims 1
- OIKHZBFJHONJJB-UHFFFAOYSA-N dimethyl(phenyl)silicon Chemical compound C[Si](C)C1=CC=CC=C1 OIKHZBFJHONJJB-UHFFFAOYSA-N 0.000 claims 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims 1
- 229910052732 germanium Inorganic materials 0.000 claims 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims 1
- 238000012423 maintenance Methods 0.000 claims 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims 1
- PARWUHTVGZSQPD-UHFFFAOYSA-N phenylsilane Chemical compound [SiH3]C1=CC=CC=C1 PARWUHTVGZSQPD-UHFFFAOYSA-N 0.000 claims 1
- 150000003376 silicon Chemical class 0.000 claims 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 claims 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims 1
- 229940094989 trimethylsilane Drugs 0.000 claims 1
- 230000008021 deposition Effects 0.000 abstract description 64
- 238000010438 heat treatment Methods 0.000 abstract description 14
- 238000011065 in-situ storage Methods 0.000 abstract description 2
- 238000005286 illumination Methods 0.000 abstract 1
- 238000000151 deposition Methods 0.000 description 67
- 235000012431 wafers Nutrition 0.000 description 50
- 210000002381 plasma Anatomy 0.000 description 46
- 239000003989 dielectric material Substances 0.000 description 19
- 239000000203 mixture Substances 0.000 description 15
- 239000012159 carrier gas Substances 0.000 description 12
- 230000009969 flowable effect Effects 0.000 description 12
- 241000894007 species Species 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 10
- -1 silane compound Chemical class 0.000 description 10
- 238000000137 annealing Methods 0.000 description 8
- 239000012530 fluid Substances 0.000 description 8
- 208000034189 Sclerosis Diseases 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 238000001816 cooling Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- 230000000740 bleeding effect Effects 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000005284 excitation Effects 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 3
- 230000001737 promoting effect Effects 0.000 description 3
- 239000012713 reactive precursor Substances 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminium flouride Chemical compound F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 230000008676 import Effects 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- NHDHVHZZCFYRSB-UHFFFAOYSA-N pyriproxyfen Chemical compound C=1C=CC=NC=1OC(C)COC(C=C1)=CC=C1OC1=CC=CC=C1 NHDHVHZZCFYRSB-UHFFFAOYSA-N 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- MAYUMUDTQDNZBD-UHFFFAOYSA-N 2-chloroethylsilane Chemical compound [SiH3]CCCl MAYUMUDTQDNZBD-UHFFFAOYSA-N 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- 244000287680 Garcinia dulcis Species 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 241001300398 Vitrina Species 0.000 description 1
- MVKRJEALUDVITB-UHFFFAOYSA-N [SiH4].C(C)Cl Chemical compound [SiH4].C(C)Cl MVKRJEALUDVITB-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000001227 electron beam curing Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 230000005283 ground state Effects 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 230000035876 healing Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 239000003642 reactive oxygen metabolite Substances 0.000 description 1
- 238000007142 ring opening reaction Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 238000000638 solvent extraction Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Landscapes
- Chemical Vapour Deposition (AREA)
Abstract
A system for forming a dielectric layer on a substrate from a plasma of dielectric precursors is disclosed. The system comprises: a deposition chamber; a substrate holder disposed in the deposition chamber to hold the substrate; and a remote plasma generation system coupled to the deposition chamber, wherein the plasma generation system is configured to generate a dielectric precursor comprising one or more reactive radicals. The system further includes an illumination heating system to heat the substrate, the heating system including at least one light source, wherein at least a portion of the light emitted by the light source travels through the top side of the deposition chamber before reaching the substrate. The system also includes a precursor distribution system to introduce the reactive radical precursor and additional dielectric precursor into the deposition chamber. An in-situ plasma generation system may also be included to generate a plasma in the deposition chamber from the dielectric precursor supplied to the deposition chamber.
Description
Technical field
The present invention relates to a kind of deposition chamber that is used for dielectric gapfill.
Background technology
The chip manufacturer of integrated circuit is the density that continues to increase the circuit unit on each chip, and therefore filling becomes in order to the gap of separating those assemblies and has more challenge.The increase of circuit unit density is to shorten with making width necessity between the adjacent component.When the reduction of the width in those gaps highly came fast than it, height (was known as depth-to-width ratio with respect to the ratio of width; Aspect ratio) be to increase pro rata.With respect to shallow and wide gap (that is, low depth-to-width ratio gap), be difficult in high and narrow gap (that is high-aspect-ratio gap), filling the dielectric material rete of homogeneous.
The common difficulty in filling high-aspect-ratio gap is the formation of space (void).In the high-aspect-ratio gap, the dielectric material of filling the gap is to tend to so that deposited at rates is near the top in gap faster, and therefore, before the complete filling gap, dielectric material can close the top in gap and produce the space usually.Even the top in gap is not closed ahead of time, the inhomogeneous growth speed of the dielectric film layer on the sidewall in gap can cause the gap fill in the middle of the place produce fragile seam, and these seams then can cause the essence integrality that is unfavorable for assembly and the slight crack of dielectric property.
Being used for avoiding the technology in filling dielectric layer formation space, gap and frangible seam is to fill the gap under lower deposition rate.Lower deposition rate provides the dielectric material more time to redistribute inner surface in the gap, to reduce excessive grown on top chance.Lower deposition rate also may be the etching of the enhancing carried out simultaneously with dielectric layer deposition or the result of sputter operation.For instance, at the etch-rate of the HDPCVD dielectric material in the corner, top in gap greater than etch-rate at the material of gap sidewall and base section.This can increase the top, gap and still be the chance of opening, but so the sidewall in gap and bottom complete filling dielectric material is arranged.
Yet the deposition rate that reduces dielectric material also can cause the time of finishing deposition longer.Long sedimentation time then can make by the speed of settling chamber's processing base material wafer, and then cause the efficient of deposition chamber to reduce.
Another technology that is used to avoid to form space and frangible seam is for promoting the flowable of the dielectric material that is used to fill the gap.The dielectric material of tool flowable can be easily along with sidewall moves down, and fills the space (be commonly referred to as and make space " healing ") that is positioned at the gap centre.Silicon oxide dielectric material becomes by increasing the oh group concentration in the dielectric material usually and has more flowability.Yet, for those groups being added oxides and removing and can not cause and still have challenge in the harmful effect to the final quality of dielectric material from it.
Therefore, need a kind of improved system and method for filling the gap of short width and high-aspect-ratio with the tight dielectric film layer.Those and other problem is can be solved by system and method for the present invention.
Summary of the invention
Embodiments of the invention are to comprise a kind of system that is used for forming on base material from the plasma of dielectric precursors dielectric layer.This system comprises: a settling chamber; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be a dielectric precursors that comprises one or more reactive free radical in order to generation.This system more comprises a predecessor distribution system, and it comprises at least one top inlet and several sides inlet, in order to dielectric precursors is imported in the settling chamber.The top inlet can be arranged at the substrate holder top, and side inlet radial distribution is around substrate holder.Reactive free radical predecessor is supplied to the settling chamber by the top inlet.Also can comprise that an original position (in-situ) plasma produces system, in the settling chamber, to produce plasma by the dielectric precursors that is supplied to the settling chamber.
Embodiments of the invention also comprise a kind of in order to form the additional system of silicon dioxide layer on base material.This system comprises that a settling chamber and is arranged in the substrate holder of settling chamber with the rest base material, and wherein in the process that silicon oxide layer forms, substrate holder can make the base material rotation.This system comprises that more a remote plasma produces system, and it is to be coupled to the settling chamber, and wherein this plasma generation system is in order to produce the elemental oxygen predecessor.This system more comprises a predecessor distribution system again, and it has: (i) at least one top enters the mouth, and it is to be arranged at the substrate holder top, and the elemental oxygen predecessor is supplied to the settling chamber by the top inlet; And (ii) several sides enter the mouth, and in order to one or more silicon-containing precursor is supplied to the settling chamber, wherein side inlet radial distribution is around substrate holder.
Embodiments of the invention more comprise a kind of system that is used for forming on base material from the plasma of dielectric precursors dielectric layer.This system comprises: a settling chamber comprises the top side of being made by a trnaslucent materials; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be to be coupled to the settling chamber, its ionic medium generation system is a dielectric precursors that comprises a reactive free radical in order to generation.This system more comprises an irradiation heating system, is in order to heated substrate, and heating system comprises at least one light source, wherein by light source launch to small part light before arriving base material, be the top side of settling chamber of passing through.In addition, this system can comprise a predecessor distribution system, and it has at least one top inlet and several sides inlet, in order to dielectric precursors is imported in the settling chamber.The top inlet is the top that is coupled to the top side of settling chamber and is positioned at substrate holder.Side inlet radial distribution is around substrate holder.Reactive free radical predecessor is supplied to the settling chamber by the top inlet.
Embodiments of the invention more comprise a kind of additional system that is used for forming on base material from the plasma of dielectric precursors dielectric layer again.This system comprises: a settling chamber; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be to be coupled to the settling chamber, its ionic medium generation system is first dielectric precursors that comprises one or more reactive free radical in order to generation.This system more comprises a predecessor distribution system, it comprises that one is arranged at the binary channels sprinkler head of substrate holder top, this sprinkler head comprises a panel, and panel is to have one first group of perforate and one second group of perforate, reactive free radical predecessor is to enter in the settling chamber by first group of perforate, second dielectric precursors then enters in the settling chamber by second group of perforate, and those predecessors are also unmixed before entering the settling chamber.
Embodiments of the invention also can comprise a kind of additional system that is used for forming on base material from the plasma of dielectric precursors dielectric layer.This system comprises: a settling chamber; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be to be coupled to the settling chamber.Plasma generation system is the dielectric precursors that comprises a reactive free radical in order to generation.This system can more comprise a predecessor distribution system, and it comprises at least one top inlet, a perforated plate and several sides inlet, and in order to dielectric precursors is imported the settling chamber.Perforated plate is to be arranged between top inlet and the side inlet, and side inlet radial distribution is around substrate holder.Reactive free radical predecessor is to pass several perforates in the perforated plate and be distributed in the settling chamber.In addition, also can utilize an original position plasma to produce system, in the settling chamber, to produce plasma by the dielectric precursors that is supplied to the settling chamber.
Embodiments of the invention can comprise a kind of system that is used for forming dielectric layer on base material again.This system comprises: a settling chamber; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be to be coupled to the settling chamber.Plasma generation system is first dielectric precursors that comprises a reactive free radical in order to generation.This system can more comprise a predecessor distribution system, and it comprises several side nozzles, so that extra dielectric precursors is imported in the settling chamber.The side nozzle can radially be arranged at substrate holder around, and each nozzle can have several side-wall holes, then extra dielectric precursors can enter in the settling chamber and with first dielectric precursors by those perforates mixes.
Embodiments of the invention can comprise a kind of additional system that is used for forming dielectric layer on base material in addition.This system comprises: a settling chamber; One substrate holder is arranged in the settling chamber with the rest base material; And one remote plasma produce system, be to be coupled to the settling chamber.Plasma generation system is first dielectric precursors that comprises a reactive free radical in order to generation.This system also comprises a predecessor distribution system, and it has a predecessor manifold radially, is in order to extra dielectric precursors is imported in the settling chamber.This manifold can comprise several radially-arranged conduits, and it is to be arranged at above the substrate holder and along substrate holder to axially align on every side.Those conduits can comprise several side-wall holes, and extra dielectric precursors then enters in the settling chamber to mix with first dielectric precursors by those perforates.
Other embodiment and feature be part below explanation in propose, and part be for be familiar with this skill personage after reading the present invention for tangible, or can acquistion by enforcement the present invention.Feature of the present invention and advantage are to understand by the means described in this specification, combination and method and obtain.
Description of drawings
Fig. 1 illustrates the simplified diagram of process system according to an embodiment of the invention;
Fig. 2 A illustrates the cross section view of exemplary process system according to an embodiment of the invention;
Fig. 2 B illustrates the cross section view of exemplary process system according to another embodiment of the present invention;
Fig. 2 C illustrates another cross section view of the process system shown in Fig. 2 B;
Fig. 2 D illustrates the cross section view of the part of settling chamber, and it is included in equalization of pressure passage and perforate in the liner of bleeding according to embodiments of the invention, to reduce asymmetric pressure effect;
Fig. 3 A-3C illustrates the configuration of the top board in the process system according to an embodiment of the invention;
Fig. 3 D illustrates the top inlet in the process system according to an embodiment of the invention and the configuration of perforated plate;
Fig. 3 E illustrates and contains oxygen predecessor and the silicon-containing precursor precursor flow in process system according to an embodiment of the invention and distribute, and this process system comprises the perforation top board;
Fig. 4 A illustrates the configuration of the side nozzle in the process system according to an embodiment of the invention;
Fig. 4 B illustrates and has capped end according to an embodiment of the invention and along another configuration of the side nozzle of several perforates of the length of blast tube;
Fig. 4 C, the cross section view of the predecessor of the side nozzle that illustrating flows through covers, this nozzle is as the nozzle shown in Fig. 4 B;
Fig. 4 D illustrates the design of single part predecessor distributing manifold according to an embodiment of the invention;
Fig. 4 E illustrates the part zoomed-in view of the predecessor distributing manifold shown in Fig. 4 D;
Fig. 5 A-5B illustrates the cross section view of process system according to an embodiment of the invention, and it has the irradiation heating component of radially concentricity configuration;
Fig. 5 C-5D illustrates the cross section view of process system according to an embodiment of the invention, and it has several irradiation heating components of configured in parallel;
Fig. 5 E-5F illustrates the cross section view of process system according to an embodiment of the invention, and it has the irradiation heating component of double flute configuration;
Fig. 6 illustrates according to an embodiment of the invention and deposits, toasts and the configuration of the chamber that hardens;
Fig. 7 A illustrates the cross section view of sprinkler head according to an embodiment of the invention, and it has independently gas channel;
Fig. 7 B illustrates the cross section view of sprinkler head according to an embodiment of the invention, and it has independently gas channel and plasma area;
Fig. 8 A illustrates the cut-away section view of sprinkler head, and wherein process gas provides by the autonomous channel, and sprinkler head also is included in concentricity hole in the panel;
Fig. 8 B illustrates the panel surface that has concentricity hole according to an embodiment of the invention;
Fig. 8 C illustrates another part cross section view of sprinkler head, and wherein process gas provides by being formed at independence in the panel and parallel passage; And
Fig. 8 D illustrates the cross section view of part sprinkler head according to an embodiment of the invention, its make gas by the marginal flow of sprinkler head to centre.
The primary clustering symbol description
100,102,104,106,108,110,112,200,206,250 systems
201 settling chambers, 202 wafer/substrate
204 substrate holder, 208 nozzles
210 top boards, 212 passages
214 conduits, 216 domes
218 motors, 220 axostylus axostyles
222 irradiation systems, 252 plates
253 nozzles, 254 inlets
260 perforates of 256,258 passages
262 top covers, 264 wafer/substrate
266 substrate holder, 268 domes
270 settling chambers, 272 axostylus axostyles
274 liners, 276 lift pins
278 valves, 280 settling chambers
284 perforates of 282 passages
286 substrate holder, 288 wafers
302 head portions, 304 predecessors
306 predecessors, 308 pipelines
312 perforates of 310a~b (perforation) plate
314 inlets, 316 perforated plates
318,320 passages Unit 322
324 perforates, 350 systems
352,354 predecessors, 356 perforation (top) plates
358 perforates, 360 nozzles
362 perforates, 364 wafer/substrate
404,404 nozzles, 406 gas rings
412 perforates of 410 nozzles
414 gas rings, 416 passages
418 predecessors, 420 nozzles
422 perforates, 450 manifolds
452,452a~b, 458 conduits, 454,456,460 rings
462 perforates, 500 irradiation systems
502 lamps, 504 domes
506 base materials, 508 grooves
510 windows, 512 lamps
514 grooves, 516 lamps
518 grooves, 600 systems
602 FOOPs, 604,610 mechanical arms
606 are installed with district's 608a~f treatment system/process chamber
700 sprinkler heads (system), 702,704 inlets
706 panels, 708 zones
710 gas compartments, 712 settling chambers/deposition region
714,716 perforates, 718 panel gap
722 wafer/substrate, 724 substrate holder
726,728 plasmas, 802 panels
804,806 perforates, 808,810 perforates
812 zones
Embodiment
Described system is in order to flowable CVD dielectric film layer is deposited on the base material, and those retes can be used for STI, IMD, ILD, OCS and other is used.System comprises that a reactive species produces system, and it provides reactive free radical species to the settling chamber, those species then with other deposition precursor deposits yields chemical reaction, on the deposition surface of base material, to form flowable dielectric film layer.For instance, system can be by the excited oxygen of remote plasma source and organosilan type predecessor and form one deck on base material.This system also can comprise the base material temperature control system, and it can heat and cool off base material in deposition process.For instance, flowable oxide membranous layer (for example less than 100 ℃) at low temperatures is deposited on substrate surface, and above-mentioned low temperature is kept by cooling base material in deposition process.After film deposition, but the temperature control system heated substrate is to anneal.
Described system can comprise more that a base material moves and navigation system, with rotating substrate in deposition process, and make base material towards or move away from predecessor distribution system (for example: the nozzle and/or the sprinkler head that are used for distributing predecessor) in the settling chamber.The rotation of base material is to be used to make flowable oxide membranous layer to distribute more equably on substrate surface, and it is that similar rotation applies (spin-on) technology.Base material mobile is in order to changing the deposition rate of rete, and it is by the distance that changes between the inlet that base material deposited surface and predecessor enter the settling chamber.
System can more comprise a base material irradiation system, and it can utilize light to shine depositional coating.Embodiment comprises with UV light and comes irradiating surface so that the rete sclerosis of deposition, and irradiation base material and make its temperature raise (for example in rapid thermal annealing type processing procedure).
How the assembly that " Fig. 1 " provides system 100 is integrated in the simplified diagram in the embodiments of the invention.System 100 comprises a depositing system 102, and predecessor is to carry out chemical reaction in this depositing system 102, and forms flowable dielectric film layer on the base material wafer of settling chamber.Depositing system 102 can comprise coil and/or electrode, and it is to provide radio-frequency power to produce plasma in the settling chamber.Plasma can be promoted the reaction rate of predecessor, and and then can increase the deposition rate of flowable dielectric material on base material.
After flowable oxidate, base material moves and navigation system 104 is to can be used for rotating substrate, so that the different piece of base material is exposed in forerunner's logistics in the mode of homogeneous more, this makes the matter of the species in the predecessor pass more homogeneous, and it is wider also to make that the rete of low stickiness scatters on the deposition surface of base material.Navigation system 104 can comprise maybe can be coupled to a rotatable and vertically moving substrate holder.
The predecessor that is used to form the dielectric film layer that can flow is to be provided by a predecessor distribution system 108.The example of distribution system 108 comprises dividing plate and nozzle system, and it is to make from the top of the settling chamber in the depositing system 102 and the predecessor of side to flow out.Example also comprises the sprinkler head with several perforates, and precursor gas is distributed by those perforates and entered in the settling chamber.In another example, system 108 can comprise a gas ring (not having nozzle), and it has several perforates, and precursor gas then flows in the settling chamber by those perforates.
The predecessor that is used for system 100 can comprise in order to form the predecessor of flowable dielectric oxide rete.The oxide membranous layer predecessor can comprise a reactive species predecessor (for example free radical elemental oxygen), and other oxidation predecessor, for example molecular oxygen (O
2), ozone (O
3), steam, hydrogen peroxide (H
2O
2) and nitrogen oxide (N for example
2O, NO
2Deng) etc.The oxide membranous layer predecessor also comprises silicon-containing precursor, and for example organic silane compound comprises TMOS, TriMOS, TEOS, OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS and HMDSO etc.Silicon-containing precursor also comprises the silicon compound that does not contain carbon, for example silane (SiH
4).If the oxide membranous layer of deposition is an oxide membranous layer that mixes, then also spendable admixture (dopant) predecessor for example is TEB, TMB, B
2H
6, TEPO, PH
3, P
2H
6And TMP, and other boron and phosphorus admixture.If rete is silicon nitride or silicon oxynitride dielectric layer, then can use nitrogen-containing precursor, for example ammonia, BTBAS, TDMAT, DBEAS and DADBS etc.At the film deposition of part, then can use halogen with for example as catalyst.Those halogen predecessors can comprise halogen chloride (HCl) and chlorosilane (ethyl chloride silane for example; Chloroethylsilane).Also can use other acid compound, for example organic acid (for example formic acid).Those all predecessors can transmit by carrier gas by distribution system 108 and depositing system 102, and wherein carrier gas comprises helium, argon gas, nitrogen (N
2) and hydrogen (H
2) etc.
Now please refer to " Fig. 2 A ", is to show the profile of exemplary processes system 200 according to an embodiment of the invention.System 200 comprises settling chamber 201, and predecessor is to produce chemical reaction and the dielectric film layer that can flow is deposited on the base material wafer 202 in settling chamber 201.Wafer 202 (for example diameter is the semiconductor substrate wafer of 200mm, 300mm, 400mm) is to be coupled to rotatable substrate holder 204, but this substrate holder 204 also vertical moving and make wafer 202 near or more away from the predecessor distribution system 206 of top.Substrate holder 204 also can make wafer 202 rotate (for example about 10rpm~120rpm) under the rotating speed of about 1rpm~2000rpm.Substrate holder 204 also can make wafer 202 vertical moving and with the side nozzle 208 of predecessor distribution system 206 at a distance of about 0.5mm~100mm.
Predecessor distribution system 206 comprises several radially-arranged side nozzles 208, and each nozzle 208 has one of two kinds of different lengths.(not shown) is not have nozzle, and a perforate ring is distributed on the wall of settling chamber in another embodiment, and predecessor is then flowed through those perforates and entered chamber.
Distribution system 206 also can comprise a conical top board 210, and it can be coaxial setting with the central authorities of substrate holder 204.Can the pass through central authorities of top board 210 of fluid passage 212, and the composition of predecessor that provides with external guidance surface from top board 210 or carrier gas is different.
The outer surface of top board 210 is to be that the reactive species that guiding is arranged at 201 tops, settling chamber produces the reactive precursor that system's (not shown) is provided around conduit 214, conduit 214 are arranged.Conduit 214 can be circular straighttube, and has an end perforate at the outer surface of top board 210, and the other end then is coupled to reactive species and produces system.
Reactive species produces system can produce system (RPS) for a remote plasma, and it is by more stable initial substance is exposed to plasma and produces reactive species.For instance, initial substance can be for comprising the mixture of molecular oxygen (or ozone).The plasma that initial substance is exposed to from RPS can cause the molecular oxygen of a part to be dissociated into elemental oxygen, the free radical species of this high response can (for example be lower than 100 ℃) under lower temperature and organosilicon predecessor (for example OMCTS) produces chemical reaction, to form the dielectric material that can flow on substrate surface.Even since reactive species produce reactive species that system produced at room temperature also can and other deposition precursor thing between have high response, therefore reactive species is with before other deposition precursor thing mixes, must be in gas separated mixture conduit 214 (down) transmit, and disperse to enter in the settling chamber 201 by top board 210.
System 200 also can comprise RF coil (not shown), and it is to be wound in around the dome 216 of settling chamber 201.Those coils can produce inductive couple plasma in settling chamber 201, with the reactivity between further increase reactive species predecessor and other predecessor, and the fluid dielectric film layer is deposited on the base material.For instance, the air communication that contains reactive atomic oxygen is crossed top board 210 and is disseminated in the chamber, then can import by the RF coil in the formed plasma in base material 202 tops from the organosilicon predecessor of passage 212 and/or one or more side nozzle 208.Even at low temperatures, elemental oxygen and organosilicon predecessor meeting fast reaction are to form highly flowable dielectric film layer at substrate surface.
Substrate surface itself can rotate by substrate holder 204, to promote the homogeneity of depositional coating.Plane of rotation is the plane that is parallel to the wafer deposition surface, or above-mentioned two planes are the part misalignment.If those planes and misalignment, the rotation of substrate holder 204 can produce waves phenomenon, thereby the space above deposition surface produces the fluid flow-disturbing.Under the part situation, this flow-disturbing also can be promoted the homogeneity of the dielectric film layer that is deposited on substrate surface.Substrate holder 204 also can comprise groove and/or other structure, when substrate holder 204 moves, makes wafer keep the location so that a static suction base to be provided.Typical deposition pressure in the chamber is between 0.05 holder (Torr)~about 200 holders (total chamber pressure) (for example 1 holder), and makes the vacuum suction base wafer can be kept the location.
The rotation of substrate holder 204 can be activated by motor 218, and motor 218 is positioned at the below of settling chamber 201, and rotation is coupled to the axostylus axostyle 220 in order to support base material seat 204.Axostylus axostyle 220 also can comprise the inner passage (not shown), and it is that the cooling fluid and/or the electric wire of the cooling/heating systems (not shown) of below, auto-deposition chamber in the future is delivered to substrate holder 204.Those passages are around the central authorities by substrate holder 204 extend to, with the cooling and/or the heating of base material wafer 202 homogeneous that the top is provided.Those passages also can be through design, and makes and still can operate in 204 rotations of axostylus axostyle 220 and substrate holder and/or when mobile.For instance, can operate cooling system and base material wafer 202 is maintained under the temperature that is lower than 100 ℃ in the process of substrate holder 204 rotations and deposition flowable oxide rete.
System 200 can more comprise an irradiation system 222, and it is to be arranged at dome 216 tops.The lamp of irradiation system 222 can shine the base material 202 of below, the depositional coating on the base material 202 is toasted or anneal.Can also in deposition process, activate lamp, to promote the reaction in rete predecessor or the depositional coating.At least the top of dome 216 is to be made by translucent material, to send the part light from lamp.
" Fig. 2 B " is another embodiment that shows exemplary processes system 250, and wherein perforated plate 252 is to be arranged at side nozzle 253 tops, and disperses the predecessor from top inlet 254.Perforated plate 252 disperses predecessor by the perforate 260 that several are arranged in plate thickness.Plate 252 can for example have about 10~2000 perforates (for example 200 perforates).In an illustrated embodiment, perforated plate 252 can disperse oxidizing gas, for example elemental oxygen and/or other oxygen-containing gas, for example TMOS or OMCTS.Shown in the configuration, oxidizing gas is to import in the settling chamber and be positioned at silicon-containing precursor above, and those silicon-containing precursor are importings and being positioned at above the deposited base material.
Perforated plate 252 and top inlet 254 can be used for the oxidation predecessor is sent to following side space in the settling chamber 270.For instance, first flow channel 256 can transmit the oxidation predecessor, and it comprises elemental oxygen (in ground state or excitation state), molecular oxygen (O
2), N
2O, NO, NO
2And/or ozone (O
3) one or many persons.The oxidation predecessor also can comprise carrier gas, for example helium, argon gas, nitrogen (N
2) etc.Second channel 258 also can transmit oxidation predecessor, carrier gas and/or additional gas (ammonia for example; NH
3).
System 250 can be provided with the different parts with the settling chamber and be heated to different temperatures.For instance, one primary heater zone can make top cover 262 and perforated plate 252 be heated to about 70 ℃~about 300 ℃ (for example about 160 ℃), and the secondary heater zone then can be heated to settling chamber's sidewall of base material wafer 264 and substrate holder 266 tops and the primary heater zone temperature of identical or different (for example being higher than 300 ℃).System 250 also can comprise the 3rd heater area that is positioned at base material wafer 264 and substrate holder 266 belows, and make its temperature and first and/or secondary heater zone be identical or different temperature (for example about 70 ℃~about 120 ℃).In addition, substrate holder 266 can comprise the heating that are arranged in the substrate holder axostylus axostyle 272 and/the cooling duct (not shown), with the temperature with substrate holder 266 and base material 264 be set in-40 ℃ approximately~about 200 ℃ following (for example about 100 ℃~about 160 ℃, less than about 100 ℃, about 40 ℃ etc.).In processing procedure, wafer 264 can elevate a turnable ladder leaves substrate holder 266 by lift pins 276, and be positioned at slit valve 278 around.
" Fig. 2 C " is another cross section view that shows the treatment system 250 of " Fig. 2 B "." Fig. 2 C " is the portion size that illustrates system 250, comprises that main chamber's inner diameter is between about 10 inches~about 18 inches (for example about 15 inches).It also shows that the distance between base material wafer 264 and the side nozzle is between about 0.5 inch~about 8 inches (for example about 5.1 inches).In addition, the distance between base material wafer 264 and the perforated plate 252 is between about 0.75 inch~about 12 inches (for example about 6.2 inches).Moreover the distance between the top inner surface of base material wafer 264 and dome 268 is between about 1 inch~about 16 inches (for example about 7.8 inches).
" Fig. 2 D " is the cross section view of settling chamber, display part 280, and it is to comprise an equalization of pressure passage 282 and the perforate 284 that is arranged in the liner of bleeding.Shown in configuration in, passage 282 and perforate 284 can be positioned at the below of top sprinkler head, top board and/or side nozzle, and are positioned at co-altitude with substrate holder 286 and wafer 288, or above it.
The embodiment view of the head portion 302 of the predecessor distribution system 206 in " Fig. 3 A " demonstration " Fig. 2 A ", it is to comprise passage 212, passage 212 is the centre that down are formed at top board 210, and the top of top board 210 is around conduit 214 is arranged." Fig. 3 A " shows that reactive species predecessor 304 is the conduits 214 and be positioned at the outer surface top of top board 210 of down flowing through.When reactive species predecessor 304 arrived conical end near the top board 210 of settling chamber, it can radial dispersion enter chamber, and carries out primary the contact with second predecessor 306 in chamber.
Second predecessor 306 can be an organosilan predecessor, and also can comprise a carrier gas.The organosilan predecessor can comprise one or more compound, for example: TMOS, TriMOS, TEOS, OMCTS, HMDS, TMCTR, TMCTS, OMTS, TMS and HMDSO etc.Carrier gas can comprise one or more gas, for example: nitrogen (N
2), hydrogen (H
2), helium and argon gas etc.Predecessor is supplied by the source (not shown) that is connected to predecessor supply line 308, and this supply line 308 also is connected to passage 212.Second predecessor 306 is the centre gangwaies 212 of down flowing through, and can not be exposed at the mobile reactive species predecessor 304 of the outer surface of top board 210.When second predecessor 306 leaves the bottom of top board 210 and enters the settling chamber, its first with reactive species predecessor 304 and the extra predecessor substance reaction supplied by side nozzle 208.
The reactive species predecessor 304 of conduit 214 of down flowing through is to produce in a reactive species generation unit (not shown), for example the RPS unit.The RPS unit can produce the plasmoid that is suitable for forming reactive species.Because the plasma in the RPS unit is arranged in the isoionic long-range of settling chamber, therefore can use different plasmoids at each composition.For instance, in the RPS unit, be used for from oxygen predecessor (O for example
2, O
3, N
2O etc.) plasmoid (for example RF power, RF frequency, pressure, temperature, carrier gas dividing potential drop etc.) that forms the elemental oxygen free radical can be different from elemental oxygen with one or more silicon-containing precursor (for example TMOS, TriMOS, OMCTS) is reacted and below can flow plasmoid in the settling chamber of dielectric film layer of formation on the base material.
" Fig. 3 A " shows twin-channel top board, and it is that design is so that first and second predecessor was all independently of one another flowing before arriving the settling chamber.Embodiments of the invention also comprise three or multiple predecessor can independently flow to the configuration of chamber.For instance, this configuration can comprise two or a plurality of autonomous channel (as passage 212) of the top board 210 of passing through, and each passage can transport predecessor, and it was flowed for independently of one another before arriving the settling chamber.Another example can comprise a single passage top board 210, and it does not have the passage that passes its center.In those embodiment, second predecessor 306 enters the settling chamber by side nozzle 208, and with react by 210 radial distribution of top board to the reactive precursor 304 in the chamber.
" Fig. 3 B and 3C " is other embodiment that shows top board 210.In " Fig. 3 B and 3C ", passage 212 is to open and enter by perforated plate 310a~b and be defined in the cone-shaped space of its bottom side.Predecessor then leaves this space by the perforate 312 of perforated plate 310a~b." Fig. 3 B and 3C " shows how the angle between sidewall and the bottom perforated plate 310a~b changes, and those graphic variations that the shape on outer circle taper surface (when predecessor is to flow thereon when entering the settling chamber) also is described.
" Fig. 3 D " is the configuration that shows top inlet 314 and perforated plate 316, and perforated plate 316 is to distribute predecessor in order to substitute top board with the top of auto-deposition chamber.In an illustrated embodiment, top inlet 314 is to have two or a plurality of independently precursor flow passage 318,320, its be in order to prevent two or a plurality of predecessor before the space that enters above the perforated plate 316, mix.First flow channel 318 can be ring-type, and around inlet 314 centers, this passage 318 also can be coupled to the reactive species generation unit 322 of top, and this unit 322 is to produce the reactive species predecessor, and makes it down flow through passage 318 and enter the space of perforated plate 316 tops.Second flow channel 320 can be cylindric, and with so that second predecessor flow to the space of perforated plate 316 tops, this flow channel 320 is to originate in to make predecessor and/or carrier gas walk around reactive species generation unit 322.First and second predecessor then mixes, and the perforate 324 in the perforated plate 316 of flowing through and the settling chamber of the below that arrives.
" Fig. 3 E " shows to contain oxygen predecessor 352 and the precursor flow distribution situation of silicon-containing precursor 354 in process system 350, and process system 350 includes perforation (top) plate 356 according to embodiments of the invention.As " Fig. 3 D ", remote plasma system (not shown) is to produce an oxygen-containing gas (for example free radical elemental oxygen), and it is to guide the space of passing the top of settling chamber and entering perforated plate 356 tops.The reactive oxygen species then flow through perforated plate 356 perforate 358 and down enter a zone of chamber, in addition, silicon-containing precursor 354 (for example organosilan and/or silanol predecessor) then enters in the chamber by side nozzle 360.
" Fig. 4 A " shows according to embodiments of the invention and the top view of the configuration of the side nozzle in process system.In an illustrated embodiment, the side nozzle with three nozzles be one group and radial distribution around the settling chamber, wherein central nozzle 402 is two more adjacent nozzles 404 and further extending in the chamber.16 groups of nozzles (three is one group) are uniformly distributed in around the settling chamber, so be total up to 48 side nozzles.Other embodiment comprises between about 12~eighty nozzle sum.
Nozzle 402,404 is positioned at the deposition surface top of base material wafer and setting with interval.Between base material and the nozzle is for example between about 1mm~about 80mm (for example between about 10mm~30mm) at interval.Distance between nozzle 402,404 and the base material is to change (for example in deposition process, but the wafer vertical moving, rotate and/or shake) in deposition process.
Nozzle 402,404 can be arranged on same level, or different nozzle sets can be positioned at different planes.Nozzle 402,404 can make its center line (centerline) location and be parallel to the deposition surface of wafer, or it can tilt up or down with respect to substrate surface.Nozzle 402,404 on the same group can not be positioned at different angles with respect to wafer.
Nozzle the 402, the 404th has a near-end that extends into the terminal of chamber and be coupled to the inside diameter surface of ring-type gas ring 406, and wherein gas ring 406 is that the supply predecessor is to nozzle.The internal diameter of gas ring 406 be for example between about 10 inches~about 22 inches (for example about 14 "~about 18 ", about 15 " etc.).In part configuration, longer nozzle 402 terminal extensible surpass the below base material around, and enter the space of base material inner and upper, but the end of shorter nozzle 404 then also the no show base material around.In the embodiment shown in " Fig. 4 A ", the end of shorter nozzle 404 is to extend to diameter 12 " (that is, around base material wafer 300mm), the end of longer nozzle 402 then extends extra 4 inches in the inner and upper of deposition surface.
" Fig. 4 B " shows according to embodiments of the invention and the side nozzle 410 that the lining in process system covers.Side nozzle 360 in " Fig. 3 E ", nozzle 410 is to extend into the end of settling chamber and be covered at it to cover.Several perforates 412 of the sidewall of predecessor by being formed at nozzle duct of nozzle 410 of flowing through are left.Those perforates 412 are the portion nozzle sidewalls that are formed at towards the base material wafer (not shown), with wafer that predecessor is led.Those perforates 412 can be common aligned (co-linearly aligned), with flowing at same direction guiding predecessor, or those perforates 412 can be formed on different radial positions along sidewall, with respect to below the flowing of guiding predecessor under the different angles of wafer.
" Fig. 4 C " is the cross section view that shows the predecessor of the side nozzle 420 (as the nozzle shown in " Fig. 4 B ") of flowing through.Predecessor 418 (for example from the organosilan steam predecessor in the carrier gas of vapor delivery system) is precursor flow passage 416 supplies by the near-end that is coupled to side nozzle 420.The flow through central authorities of nozzle duct of predecessor 418, and leave by the perforate 422 of sidewall.Shown in nozzle arrangement in, perforate 422 is down to align and with the wafer substrate (not shown) of predecessor 418 guiding below.The diameter of perforate 422 is between about 8 Mills~about 200 Mills (for example about 20 Mills~about 80 Mills), and between the perforate 422 is between about 40 Mills~about 2 inches (for example about 0.25 inch~about 1 inch) at interval.The number of perforate 422 is can be with respect to the length of interval between the perforate and/or side nozzle 420 and change.
Embodiments of the invention also can comprise the radially predecessor manifold of single part, and it is the radially side nozzle sets that is used for replacing shown in " Fig. 4 B ".The embodiment of predecessor manifold 450 (what also can claim is sprinkler head) is shown in " Fig. 4 D ".Manifold 450 comprises several rectangular conduit 452, and its radial distribution is around outside predecessor ring 454.The near-end of conduit 452 can be coupled to external rings 454, and the end of conduit 452 then is coupled to inner loop 456.Inner loop 456 also can be coupled to the near-end of several inner conduits 458, and the end of conduit 458 then is coupled to central rings 460.
One or more predecessor passage (not shown) in the outside predecessor ring 454 is that supply predecessor (for example one or more organosilicon predecessor) is to rectangular conduit 452.Predecessor leaves conduit 452 through being formed at several perforates 462 of conduit side.The diameter of perforate 462 is between about 8 Mills~about 200 Mills (for example about 20 Mills~about 80 Mills), and between the perforate 462 is between about 40 Mills~about 2 inches (for example about 0.25 inch~about 1 inch) at interval.The number of perforate 462 is can be with respect to the length of interval between the perforate 462 and/or conduit 452 and change.
" Fig. 4 E " is the amplifier section that shows predecessor distributing manifold in " Fig. 4 D ".In the embodiment shown, radially-arranged conduit 452a~b comprises that its length extends to first group of conduit 452a of inner loop 456, with and length extend beyond inner loop 456 and second group of conduit 452b of the central rings 460 that arrives.First and second group conduit 452 can provide different precursor mix.
As mentioned above, the embodiment of depositing system also can comprise irradiation system, and makes the flowed dielectric film layer sclerosis that is deposited on the base material and/or heating." 5A and 5B figure " is the embodiment that shows this kind irradiation system 500, and it comprises 502 groups of concentricity annular lamp that are arranged at translucent dome 504 tops.Lamp 502 is to be arranged with in reflection groove 508, and its surface that is positioned at the lamp side has a reflective coating, its light that lamp can be launched guiding base material 506.The sum of lamp 502 can be single lamp extremely for example up to 10 lamps.
From the light of lamp 502 is to be conveyed through dome 504 and on the base material deposited surface that arrives.The dome 504 of at least a portion comprises the window 510 of a penetrable light, and it is to allow UV and/or thermal exposure to enter the settling chamber.Window 510 can for example be made by quartz, fused silica, aluminum oxynitride or other vitrina that is fit to.Shown in " the 5A~5F figure ", window 510 can and cover the top of dome 504 for annular, and its diameter is for example to be about 8 "~about 22 " (for example about 14 ").The central authorities of window 510 can comprise an internal openings, and it allows conduit to pass the top that wherein enters the settling chamber.The diameter of internal openings is for example to be about 0.5 "~about 4 " (for example diameter is about 1 ").
" Fig. 5 C and 5D " is another configuration that shows the lamp 512 with tubular lamp bulb, and it is with the flat shape substituted cyclic.Straight lamp 512 is parallel alignment, and is arranged with in reflection groove 514, and reflection groove 514 is transparency window 510 tops that are arranged at dome 504.Reflection groove 514 can be ring-type and can meet the diameter of top window 510.One end of lamp 512 is extensible to be surpassed around the groove 514.Lamp 512 numbers in each side of window 510 central authorities can be identical, and can use about 4 or more a plurality of lamp (for example about 4~10 lamps).
" Fig. 5 E and 5F " is another configuration that shows irradiation system, and it is to have the two large-scale lamps 516 that are arranged at window 510 opposite side on every side.Large-scale lamp 516 can parallel to each otherly align, or with less than parallel angular alignment.Lamp 516 also can be arranged with in reflection groove 518, and this reflection groove 518 is the base materials that help in the lamp wiring guiding deposition chamber of a part.
The embodiment of the irradiation system shown in " Fig. 5 A~5F " can be deposited in the process on the substrate surface or afterwards, is used to shine flowable dielectric film layer at flowable dielectric film layer.It also can (for example pulse annealing) shine base material between deposition step.In the process of film deposition, wafer is to be arranged on the temperature control substrate holder.Chip temperature can for example be set in-40 ℃~about 200 ℃ (for example about 40 ℃) approximately.Illuminated in a baking processing procedure (that is, annealing) when base material, the temperature of wafer can be increased to up to about 1000 ℃.In the process of this high annealing, the lift pins on the substrate holder can be left substrate holder with the base material elevate a turnable ladder.This can prevent substrate holder to become fin (hot sink), and allows base material temperature with raise at a high speed (for example up to about 100 ℃/second).
The embodiment of depositing system can integrate with in the large-scale manufacturing system with the production integrated circuit (IC) chip." Fig. 6 " shows according to an embodiment of the invention to deposit, toast and the system 600 of the chamber that hardens.In this figure, a pair of FOOPs 602 is supply base material wafers (for example wafer of diameter 300mm), and wafer is received by mechanical arm 604, and it is inserted wafer processing process 608a~f one before, earlier it is inserted low pressure and is installed with and distinguishes 606.Second mechanical arm 610 can be used for base material wafer is sent among process chamber 608a~f by being installed with district 606, and transmits again.
Process chamber 608a~f can comprise that one or more can deposit for the flowed dielectric film layer on base material wafer, anneals, one or more system component of sclerosis and/or etch processes.In this configuration, (for example 608c~d and 608e~f) are used on base material the deposition dielectric material that can flow, the 3rd pair process chamber (608a~b) then be used to make the dielectric material of deposition to anneal for example for the two pairs of process chambers.In another configuration, (for example 608c~d and 608e~f) are used on the base material deposition dielectric film layer that can flow to two pairs of identical process chambers, and it is annealed the 3rd pair process chamber (608a~b) then can be used for making depositional coating to carry out UV or electron beam (E-beam) sclerosis for example.In another configuration, (for example 608a~f) can be provided with can flow dielectric film layer and make its sclerosis of deposition on base material for the three pairs of process chambers.Again in another configuration, (for example 608c~d and 608e~f) can be used for can flow dielectric material and make it carry out UV or electron-beam curing of deposition, the 3rd pair process chamber (608a~b) then can be used for dielectric film layer is annealed for example for the two pairs of process chambers.Also can understand, also be expected (according to system 600) at deposition, the annealing of the dielectric film layer that can flow and other configuration of the chamber that hardens.
In addition, one or more process chamber 608a~f can be provided with as the wet processed chamber.Those process chambers are included in one and comprise the flowable dielectric film layer of heating in the air of moisture.Therefore, the embodiment of system 600 can comprise wet processed chamber 608a~b and the 608c~d of annealing chamber, to carry out wet type and dry type annealing in process on the dielectric film layer of deposition.
The sprinkler head design
Embodiment according to gas delivery of the present invention and plasma generation system comprises sprinkler head, so that predecessor is dispensed in the settling chamber.Those sprinkler heads are to make two or a plurality of predecessor sprinkler head of can independently flowing through through design, can not contact with each other before mixing in the settling chamber.Sprinkler head can make plasma independently to result from panel rear and the settling chamber through design.The dividing plate and the plasma between the panel that independently result from sprinkler head can be used for forming the reactive precursor species, and can be by in the efficient of promoting the sprinkler head clean near panel place excitation cleaning species.About design with two or a plurality of predecessor additional detail of being independently flowed into the sprinkler head of deposition region be to be described in u.s. patent application serial number the 11/040th, in No. 712 the application case, its invention people is people such as Jung, the applying date is on January 22nd, 2005, denomination of invention is " MIXING ENERGIZED AND NON-ENERGIZEDGASES FOR SILICON NITRIDE DEPOSITION (being used for the excitation state of silicon nitride deposition and the mixing of nonexcitation state gas) ", is its integral body is incorporated into as reference.
Now seeing also " Fig. 7 A ", is the concise and to the point cross section view that shows sprinkler head system 700.Sprinkler head 700 is to be provided with and to have two a predecessors inlet 702,704.First predecessor inlet 702 is that the center with sprinkler head 700 is coaxial setting, and down by sprinkler head 700 central authorities and then laterally define the flow channels of first predecessor by panel 706 rear sides.First predecessor is to leave sprinkler head and enter in the settling chamber by the selected perforate of panel.
When predecessor left its separately groups of openings, it can mix in the deposition region 712 above base material wafer 722 and the substrate holder 724.Panel 706 and substrate holder 724 can form electrode, to produce capacitive coupling plasma 726 in the deposition region above base material 722 712.
In " Fig. 7 B ", panel 706 can be used as the electrode of second plasma 728 and first plasma 726 in the deposition region.The dual area plasma system can utilize synchronous plasma producing the predecessor reactive species in panel 706 back, and the reactivity of promoting these species with other predecessor in this plasma 726.In addition, plasma 728 can be used for the excitation cleaning predecessor, and make it and the reactivity that is present between the material in the sprinkler head perforate higher.In addition, producing reactive species at sprinkler head but not in the deposition region can reduce and not expect the stoichiometric number that exists between the cleaning species of activation and the deposition chamber wall.For instance, the fluorine species of activation that produce at panel 706 rears can react earlier before it leaves and enters the settling chamber, and these fluorine species can move to the aluminium assembly of settling chamber and form the AlF3 that does not desire its existence.
" Fig. 8 A and 8C " is two kinds of configurations that are presented at first and second group perforate 804,806 in the panel 802, and two precursor mix were individual flow by those perforates 804,806 before arriving the deposition region." Fig. 8 A " is the cross section view that shows concentricity perforate design, and wherein first group of perforate 804 is to make first predecessor by straight conduit, and second group of perforate 806 then makes second predecessor by the concentricity ring opening around first perforate.First and second predecessor is separate coming at the panel rear, and after leaving perforate 804,806 and in the deposition region, mix first and react.
" Fig. 8 B " is the partial view of panel 802, and its demonstration is formed at the array of first and second perforate 804,806 of panel surface.Second annular aperture 806 is formed by outermost surface flaggy and the gap defined between the tubular wall of first perforate 804.In the embodiment shown in " Fig. 8 B ", annular gap perforate 806 be central openings 804 walls around about 0.003 " locate, and the diameter of central openings 804 is about 0.028 ".Certainly, also can adopt the size of other first and second perforate.Second predecessor by those annular aperture 806 and be centered around the predecessor that leaves by central openings 804 around.
" Fig. 8 C " is the cross section view that shows parallel perforate design, and wherein first group of perforate 808 still produces the straight conduit of one first predecessor, and the parallel and contiguous second group of perforate 810 that is provided with then provides the individual flow passage of second predecessor.Two groups of perforates are separated from one another, so first and second predecessor leaves sprinkler head and can not mix and react before entering conversion zone at it.
Second predecessor that leaves perforate 810 can flow to central authorities by the fringe region of sprinkler head, shown in " Fig. 8 D ".Being formed at passage between second predecessor source and the perforate 810 and being first predecessor that enters the deposition region with flowing through perforate 808 by zone 812 is fluid partitioning.Second predecessor can be by being formed in the sprinkler head and/or on every side one or more fluid passage provides.
When providing a number range in the specification, should be appreciated that each (intervenient) numerical value between the highest and minimum limit value in this scope (unless particularly point out in the literary composition, numerical value be to minimum limit value unit 1/10th) also disclosed.In described scope each more among a small circle, or the numerical value in described scope and in described scope other addressed or the boundary also is included in the scope of the present invention in wherein numerical value.Those higher or low limit values more among a small circle can be included in this scope independently or get rid of to this scope, and comprise two limit values or a limit value wherein more among a small circle or do not comprise that each scope of those limit values also is included in the scope of the present invention that its condition is that any given row of described scope is removed limit value.Described scope comprise limit value one or two, scope that one or two those limit value is got rid of all comprises in the present invention.
In the claims, unless interior literary composition have and clear point out that then singulative " (a, an and the) " also comprises several denoted objects.Therefore, for instance, " processing procedure " comprises several this kind processing procedures, and " this nozzle " comprises one or more nozzle, or has the knack of the equivalent known to the personage of this skill.
In addition, illustrate and claims in employed " comprising " or " comprising " speech be in order to the existence of described feature, things, assembly or step to be described, but be not in order to the existence of getting rid of one or more further feature, things, assembly or step and additional.
Though only the present invention with the preferred embodiment explanation as above, so it is not in order to limiting the present invention, anyly has the knack of this technical staff, change of being done and retouching without departing from the spirit and scope of the present invention must belong to technology category of the present invention.
Claims (33)
1. system that on base material, forms dielectric layer, this system comprises:
The settling chamber;
Substrate holder is arranged in this settling chamber with this base material of rest;
Remote plasma produces system, is coupled to this settling chamber, and wherein this remote plasma produces system contains reactive free radical in order to generation dielectric precursors;
The predecessor distribution system, comprise at least one top inlet and several sides inlet, in order to silicon-containing precursor is imported in this settling chamber, wherein this top inlet is positioned at the top of this substrate holder, those sides inlet radial distribution are around this substrate holder and extend on this base material, and this dielectric precursors that contains reactive free radical is supplied to this settling chamber by this top inlet; And
The original position plasma produces system, in order to produce plasma by the dielectric precursors that is supplied to this settling chamber in this settling chamber.
2. the system as claimed in claim 1, wherein this base material is the wafer of 200mm or 300mm.
3. the system as claimed in claim 1, wherein this base material comprises silicon, germanium or GaAs.
4. the system as claimed in claim 1, wherein in the process that forms this dielectric layer, this substrate holder is rotated this base material.
5. the system as claimed in claim 1, wherein in the process that forms this dielectric layer, this substrate holder can raise and reduce to adjust the position of this base material with respect to this top inlet and those sides inlet.
6. the system as claimed in claim 1, wherein in the process that forms this dielectric layer, this substrate holder can be rotated simultaneously and raise and reduce.
7. the system as claimed in claim 1, wherein this system comprises the substrate holder temperature control system, to control the temperature of this substrate holder.
8. system as claimed in claim 7, wherein this temperature control system with the temperature maintenance of this substrate holder at-40 ℃~200 ℃.
9. the system as claimed in claim 1, wherein this top inlet is nozzle, this nozzle comprises first conduit and second conduit, this first conduit is transported to this settling chamber with the dielectric precursors that this contains reactive free radical by this remote plasma generation system, this second conduit then is transported to this settling chamber with extra dielectric precursors by the predecessor source, and wherein those predecessors in this first and second conduit were separated from one another before leaving this top inlet.
10. system as claimed in claim 9, wherein at least a portion of this first and second conduit is concentricity alignment in this nozzle.
11. system as claimed in claim 10, wherein the central shaft of this second conduit and this nozzle is co-aligned (co-aligned).
12. the system as claimed in claim 1, wherein this top inlet is nozzle, and it comprises that dividing plate contains the dielectric precursors of reactive free radical with this that disperses to enter this settling chamber.
13. system as claimed in claim 12, wherein this dividing plate has horn-like round nose, and it makes this dielectric precursors that contains reactive free radical with direction and being guided out by this nozzle outward radially.
14. the system as claimed in claim 1, wherein those side inlets comprise the nozzle of 12~80 radial distribution around this substrate holder.
15. the system as claimed in claim 1, wherein those sides inlet comprises several side nozzles, and wherein at least two of those nozzles have different length.
16. the system as claimed in claim 1, wherein those sides inlet comprises first nozzle sets and second nozzle sets, and wherein each those nozzle sets provide different dielectric precursors to this settling chamber.
17. a system that is used for forming silicon oxide layer on silicon substrate, this system comprises:
The settling chamber;
Substrate holder is arranged in this settling chamber with this base material of rest, and wherein in the process that forms this silicon oxide layer, this substrate holder is rotated this base material;
Remote plasma produces system, is coupled to this settling chamber, and wherein this remote plasma produces system in order to produce the elemental oxygen predecessor; And
The predecessor distribution system comprises:
(i) at least one top enters the mouth, and wherein this top inlet is positioned at the top of this substrate holder, and wherein this elemental oxygen predecessor is supplied to this settling chamber by this top inlet; And
(ii) several sides inlet, in order to one or more silicon-containing precursor is directed to this settling chamber,
Wherein those sides inlet radial distribution are around this substrate holder and extend on this base material.
18. system as claimed in claim 17, wherein this system comprises that more the original position plasma produces system, and this original position plasma produces system and produce plasma by this elemental oxygen predecessor that is supplied to this settling chamber and this one or more silicon-containing precursor in this settling chamber.
19. system as claimed in claim 17, wherein those side inlets comprise first nozzle sets and second nozzle sets, this first nozzle sets is supplied first silicon-containing precursor to this settling chamber, and this second nozzle sets supply is different from second silicon-containing precursor of this first silicon-containing precursor.
20. system as claimed in claim 19, wherein the length of this first nozzle sets is different from the length of this second nozzle sets.
21. system as claimed in claim 19, wherein this first and second silicon-containing precursor is selected from the group that is made up of silane, dimethylsilane, trimethyl silane, tetramethylsilane, diethylsilane, tetramethyl orthosilicate (TMOS), tetraethyl orthosilicate salt (TEOS), prestox three silica (OMTS), prestox ring four silica (OMCTS), tetramethyl cyclotetrasiloxane silica (TOMCATS), dimethyldimethoxysil,ne (DMDMOS), diethylmethyl silane (DEMS), methyl triethoxysilane (MTES), phenyl dimethylsilane and phenyl silane.
22. system as claimed in claim 19, wherein those side inlets comprise one or more extra nozzle, and it supplies at least one extra silicon-containing gas that is different from this first and second silicon-containing precursor.
23. system as claimed in claim 17, wherein this system comprises and contains the oxygen predecessor, this predecessor is supplied to this remote plasma and produces system to produce this elemental oxygen predecessor, and wherein this contains the oxygen predecessor and is selected from the group that is made up of molecular oxygen, ozone and nitrogen dioxide.
24. a system that is used for forming on base material from the plasma of dielectric precursors dielectric layer, this system comprises:
The settling chamber;
Substrate holder is arranged in this settling chamber with this base material of rest;
Remote plasma produces system, is coupled to this settling chamber, and wherein this remote plasma produces system contains reactive free radical in order to generation dielectric precursors;
The predecessor distribution system, comprise at least one top inlet, perforated plate and several sides inlet, and in order to those dielectric precursors are imported this settling chamber, wherein this perforated plate is arranged between this top inlet and those sides inlet, those side inlet radial distribution are around this substrate holder, and wherein this dielectric precursors that contains reactive free radical is passed several perforates in this perforated plate and is distributed in this settling chamber; And
The original position plasma produces system, in order to produce plasma by the dielectric precursors that is supplied to this settling chamber in this settling chamber.
25. a system that is used for forming dielectric layer on base material, this system comprises:
The settling chamber;
Substrate holder is arranged in this settling chamber with this base material of rest;
Remote plasma produces system, is coupled to this settling chamber, and wherein this remote plasma produces system contains reactive free radical in order to generation first dielectric precursors; And
The predecessor distribution system, comprise radially predecessor manifold, in order to extra dielectric precursors is directed to this settling chamber, wherein this manifold comprises several radially-arranged conduits, those conduits are positioned at this substrate holder top and axially align on every side along this substrate holder, and wherein each those conduits comprise several side-wall holes, and those extra dielectric precursors enter in this settling chamber by those side-wall holes, and mix with this first dielectric precursors.
26. system as claimed in claim 25 wherein is formed at those side-wall holes in each those conduits and has common aligned (collinear alignment) along those catheter lengths.
27. system as claimed in claim 25, wherein the flow guide of those side-wall holes dielectric precursors that those are extra is towards this base material that is positioned at the side-wall hole below.
28. system as claimed in claim 25, wherein this radially the predecessor manifold comprise outer annular predecessor ring and inner annular predecessor ring, wherein should outside and the concentricity alignment of inner loop, and wherein those conduits one of them has the near-end that is coupled to this external rings at least, and the end that is coupled to this inner loop.
29. system as claimed in claim 28, wherein this radially the predecessor manifold comprise at least one conduit, and this conduit has the near-end that is coupled to this external rings, and the end that extends through this inner loop.
30. system as claimed in claim 25, wherein this radially the predecessor manifold be arranged at the below of top inlet and perforated plate, this first dielectric precursors enters the mouth and this perforated plate by this top with before those extra dielectric precursors are mixed.
31. a system that forms dielectric layer on base material, this system comprises:
The settling chamber;
Substrate holder is arranged in this settling chamber with this base material of rest;
Remote plasma produces system, is coupled to this settling chamber, and wherein this remote plasma produces system contains reactive free radical in order to generation dielectric precursors;
The predecessor distribution system comprises at least the first inlet and second inlet, wherein this first inlet supply contain reactive free radical dielectric precursors to this settling chamber, this second inlet supply silicon-containing precursor is to this settling chamber; And this second inlet than this first inlet more near this base material, this second inlet is in order to guide mobile towards this base material downwards of this silicon-containing precursor; And
The original position plasma produces system, in order to produce plasma by the dielectric precursors that is supplied to this settling chamber in this settling chamber.
32. system as claimed in claim 31, wherein this first inlet of this predecessor distribution system is the top inlet that is positioned at the top of this substrate holder, and this second inlet is one of them that radial distribution several sides around this substrate holder enter the mouth.
33. system as claimed in claim 31, wherein this first inlet of this predecessor distribution system also provides silicon-containing precursor to this settling chamber.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US80349906P | 2006-05-30 | 2006-05-30 | |
US60/803,499 | 2006-05-30 | ||
US11/754,924 | 2007-05-29 | ||
US11/754,924 US20070281106A1 (en) | 2006-05-30 | 2007-05-29 | Process chamber for dielectric gapfill |
PCT/US2007/070000 WO2007140425A2 (en) | 2006-05-30 | 2007-05-30 | Process chamber for dielectric gapfill |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101326629A CN101326629A (en) | 2008-12-17 |
CN101326629B true CN101326629B (en) | 2011-05-25 |
Family
ID=40189207
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2007800200504A Pending CN101454482A (en) | 2006-05-30 | 2007-05-30 | Process chamber for dielectric gapfill |
CN2007800006449A Active CN101326629B (en) | 2006-05-30 | 2007-05-30 | Process chamber for filling dielectric gap |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2007800200504A Pending CN101454482A (en) | 2006-05-30 | 2007-05-30 | Process chamber for dielectric gapfill |
Country Status (1)
Country | Link |
---|---|
CN (2) | CN101454482A (en) |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
WO2011009002A2 (en) * | 2009-07-15 | 2011-01-20 | Applied Materials, Inc. | Flow control features of cvd chambers |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
CN102576667A (en) * | 2009-07-22 | 2012-07-11 | 应用材料公司 | Hollow cathode showerhead |
US10225919B2 (en) * | 2011-06-30 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Projected plasma source |
US9548223B2 (en) * | 2011-12-23 | 2017-01-17 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
JP6160938B2 (en) * | 2013-02-21 | 2017-07-12 | 株式会社 イアス | Substrate etching apparatus and substrate etching method |
CN105097500B (en) * | 2014-05-23 | 2020-02-11 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device, manufacturing method thereof and electronic device |
US9896326B2 (en) * | 2014-12-22 | 2018-02-20 | Applied Materials, Inc. | FCVD line bending resolution by deposition modulation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
CN1516233A (en) * | 2003-01-02 | 2004-07-28 | �Ҵ���˾ | Preloaded plasma reactor device and its use |
-
2007
- 2007-05-30 CN CNA2007800200504A patent/CN101454482A/en active Pending
- 2007-05-30 CN CN2007800006449A patent/CN101326629B/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6548416B2 (en) * | 2001-07-24 | 2003-04-15 | Axcelis Technolgoies, Inc. | Plasma ashing process |
CN1516233A (en) * | 2003-01-02 | 2004-07-28 | �Ҵ���˾ | Preloaded plasma reactor device and its use |
Also Published As
Publication number | Publication date |
---|---|
CN101326629A (en) | 2008-12-17 |
CN101454482A (en) | 2009-06-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101326629B (en) | Process chamber for filling dielectric gap | |
TWI397122B (en) | Process chamber for dielectric gapfill | |
TWI391995B (en) | Process chamber for dielectric gapfill | |
TWI382457B (en) | Process chamber for dielectric gapfill | |
JP6928043B2 (en) | Batch curing chamber with gas distribution and individual pumping | |
TWI399453B (en) | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen | |
CN102754190B (en) | The fluid control features structure of CVD chamber | |
CN102543831B (en) | For system and the device of the flowed deposition in semiconductor manufacturing | |
TWI507560B (en) | Oxygen-doping for non-carbon radical-component cvd films | |
KR101837648B1 (en) | Insitu ozone cure for radicalcomponent cvd | |
CN101304001A (en) | Improved gap-fill depositions in the formation of silicon containing dielectric materials | |
KR20120091235A (en) | Stress management for tensile films | |
TW201327671A (en) | Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers | |
TW201411721A (en) | Improved densification for flowable films | |
CN100501970C (en) | Limited thermal budget formation of PMD layers | |
CN1460130A (en) | System and method for depositing inorganic/organic dielectric films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C56 | Change in the name or address of the patentee | ||
CP01 | Change in the name or title of a patent holder |
Address after: American California Patentee after: Applied Materials Inc. Address before: American California Patentee before: Applied Materials Inc. |