CN101303998B - Plasma processing apparatus, focus ring, and susceptor - Google Patents

Plasma processing apparatus, focus ring, and susceptor Download PDF

Info

Publication number
CN101303998B
CN101303998B CN2008100013896A CN200810001389A CN101303998B CN 101303998 B CN101303998 B CN 101303998B CN 2008100013896 A CN2008100013896 A CN 2008100013896A CN 200810001389 A CN200810001389 A CN 200810001389A CN 101303998 B CN101303998 B CN 101303998B
Authority
CN
China
Prior art keywords
focusing ring
side member
lower side
electrostatic chuck
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2008100013896A
Other languages
Chinese (zh)
Other versions
CN101303998A (en
Inventor
远藤升佐
岩渕纪之
加藤茂昭
大久保智也
广瀬润
长仓幸一
輿水地盐
传宝一树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003271975A external-priority patent/JP4439853B2/en
Priority claimed from JP2004115807A external-priority patent/JP4547182B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101303998A publication Critical patent/CN101303998A/en
Application granted granted Critical
Publication of CN101303998B publication Critical patent/CN101303998B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

A plasma processing apparatus having a focus ring, enables the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost thereof. The plasma processing apparatus is comprised of a susceptor which has an electrostatic chuck and the focus ring. A wafer W to be subjected to plasma processing is mounted on the electrostatic chuck. The focus ring has a dielectric material portion and a conductive material portion. The dielectric material portion forms a contact portion disposed in contact with the electrostatic chuck. The conductive material portion faces the electrostatic chuck with the dielectric material portion therebetween.

Description

Plasma processing apparatus, focusing ring and pedestal
The application is that the application number that proposed on April 23rd, 2004 is dividing an application of 2004100341657 application of the same name.
Technical field
The present invention relates to plasma processing apparatus, focusing ring and pedestal.
Background technology
The example of the plasma processing apparatus that is widely known by the people comprises CVD device, Etaching device, cineration device or the like.A kind of like this plasma processing apparatus has a plasma processing chambers, and a pedestal wherein is installed, and wafer W is installed, promptly processed object on pedestal.As shown in figure 16, this pedestal is made up of dish type electrostatic chuck 51, on chuck 51 wafer W is installed, and focusing ring 52 is by only being electric conducting material or only being that dielectric material is made, and is arranged on the neighboring of upper surface of electrostatic chuck 51.
When carrying out the processing of plasma on wafer W, wafer W is installed on the electrostatic chuck 51, is keeping process chamber in a predetermined vacuum degree then, and state is that process chamber (for example, is handled gas by C when being full of processing gas 4F 8, O 2Form with Ar), wafer W is fixed on the electrostatic chuck 51 by electrostatic attraction, and high-frequency electrical energy can put on electrostatic chuck 51, thereby can produce plasma from the processing gas of process chamber.By the focusing ring on the electrostatic chuck 51 52, plasma is focused in wafer W, and therefore, predetermined plasma treatment (obtains carrying out on wafer W as dry ecthing (reactive ion etching: RIE) handle).At this moment, the temperature of wafer W is owing to the process of carrying out dry etch process increases, but the cooling of wafer W is to finish by the cooling body that is built in electrostatic chuck 51.When cooling off, the gas at the back side as helium, has the good capacity of heat transmission, makes its upper surface from electrostatic chuck 51 flow to the back side of wafer W, improve the capacity of heat transmission between electrostatic chuck 51 and the wafer W like this, thereby wafer W is cooled off effectively.
On the other hand, have the slit of several microns width between the back side of the upper surface of the neighboring of electrostatic chuck 51 and focusing ring 52, this is because the fluctuation at the back side of the focusing ring 52 that surface roughness caused causes.When reduction pressure made process chamber be in vacuum state, this slit also was vacuum state, and therefore formed a vacuum heat-insulating layer; The capacity of heat transmission between electrostatic chuck 51 and the focusing ring 52 reduces, thereby focusing ring 52 can not cool off effectively together with wafer W, the result, and the temperature of focusing ring 52 is compared with wafer W, further rises.Because the rising of focusing ring 52 temperature, the outer peripheral portion of wafer W becomes higher than its internal temperature, thereby, the etching performance of outer peripheral portion has just weakened, that is to say, hole penetration signature (perpendicularity in the etching formed hole relevant with the surface of wafer W) worsens, and etching selectivity reduces, or the like.
Especially, in recent years, there is development faster the growth of the diameter of wafer W and ultra-fine processing aspect, and therefore causing a large amount of devices is to be produced by single wafer W.Thereby in this case, the situation that also has device also to produce by the outer peripheral portion of wafer W.Therefore be necessary that the temperature that prevents focusing ring 52 raises, prevent that the etch features of the outer peripheral portion of wafer W from worsening.
For preventing that focusing ring 52 temperature from raising, and is necessary to improve the heat conductivility between focusing ring and the electrostatic chuck; As the pedestal that its heat conductivility is improved, as shown in figure 17, a known pedestal 66 comprises, electrostatic chuck 62 has inner coolant channel 61; Focusing ring 63 is arranged at the neighboring of the wafer W on the surface that is installed in electrostatic chuck 62; The heat-conducting medium 64 that between electrostatic chuck 62 and focusing ring 63, inserts; And a stationary fixture 65, it is focusing ring 63 extruding and be fixed on (referring to TOHKEMY (disclosing) 2002-16126 communique (Fig. 1)) on the electrostatic chuck 62.
According to pedestal 66, loading one by focusing ring 63 to heat-conducting medium 64 from stationary fixture 65 loads and causes heat-conducting medium 64 distortion, thereby filled slit between electrostatic chuck 62 and the focusing ring 63, therefore increased the close exposure level between electrostatic chuck 62 and the focusing ring 63, like this, the capacity of heat transmission between electrostatic chuck 62 and the focusing ring 63 is improved.
And then as the temperature rising Etaching device that prevents focusing ring as shown in figure 18, known Etaching device 75 comprises: the electrostatic chuck 72 in the reaction chamber 71; Be arranged at the focusing ring 73 of the periphery of electrostatic chuck 72 upper surface portion; And cooling body (cooling unit) 74, lower surface setting along focusing ring 73, wherein, cooling unit 74 has a substrate 74a, it is to be made and closely contacted and ooling channel 74b with the lower surface of focusing ring 73 by the material with good heat conductive performance, and it is positioned at substrate 74a, by this ooling channel 74b, cooling agent circulated (referring to Japanese kokai publication hei (disclosing) 11-330047 communique (Fig. 1)).
And, as another Etaching device, in the known devices, to as helium (He), have the backside gas of good heat-conducting, flow to the back side of focusing ring from the upper surface of electrostatic chuck, make the backside gas diffusion by the vacuum slit that exists between electrostatic chuck and the focusing ring like this, therefore be full of this vacuum slit, thereby improve the heat conductivility between electrostatic chuck and the focusing ring with this backside gas.
Further, for improving the heat conductivility between electrostatic chuck and the focusing ring, can also improve the close exposure level between focusing ring and the electrostatic chuck.For this purpose, known Etaching device has the electrode that is built in electrostatic chuck in the mode towards focusing ring.According to this device, electrode has voltage, and by electrostatic attraction, electrode attracts focusing ring near electrostatic chuck, improves the degree that contacts closely between focusing ring and the electrostatic chuck like this.
Yet, aforesaid pedestal 66, except that the part of traditional pedestal, heat-conducting medium 64 and stationary fixture 65 are necessary, so initial cost has increased.And then stationary fixture 65 is exposed to plasma system, thereby along with repeating to finish plasma treatment, stationary fixture 65 also is consumed, and it is necessary to cause regular maintenance to become.Thereby the problem that also exists maintenance cost to increase.
Furtherly, the heat that comes from focusing ring 63 is not only in coolant channel 61 collections that are built in electrostatic chuck 62, also collects the heat that comes from stationary fixture 65, therefore, exist a problem, promptly the cooling effect of focusing ring 63 can not obtain so much improvement as desired.
Further, to aforesaid Etaching device 75, cooling unit 74 is necessary, so initial cost has increased; And then if cooling unit 74 is exposed to plasma environment, then cooling unit 74 will consume gradually along with repeating plasma treatment, and it is inevitable to cause regular maintenance to become, and therefore also exists the problem that maintenance cost increases.
And then, in other Etaching device, vacuum slit between electrostatic chuck and the focusing ring has only very little thickness, backside gas is effectively spread by the vacuum slit become unlikely, and the heat conductivility between electrostatic chuck and the focusing ring can not effectively be improved as a result.Like this, exist the cooling effect of focusing ring can not obtain so much improved problem as desired.
Furtherly, plasma treatment generally comprises a plurality of steps, and the quantitative value that is used to produce the high-frequency electrical energy of plasma may change along with each step, and therefore, the temperature of focusing ring also may change.But, the pressure of backside gas and the voltage that is applied to the electrode that is built in electrostatic chuck do not change along with the change of step, and in whole plasma treatment constant especially, thereby the heat conductivility between focusing ring and the electrostatic chuck does not change.So just produce a problem, promptly the high-frequency electrical energy quantitative value changes caused focusing ring variation of temperature and can not be suppressed, thereby the cooling effect of focusing ring can not be improved.
Summary of the invention
The objective of the invention is, processing unit, focusing ring and the pedestal of plasma is provided, be greatly improved, prevent the increase of expense simultaneously with the cooling effect that impels focusing ring.
For reaching above purpose, a first aspect of the present invention, plasma processing apparatus is provided, it comprises, a pedestal has an electrostatic chuck, thereon handled object that will carry out plasma treatment of mounting, with a focusing ring, it has a contact portion that contacts with described electrostatic chuck; Wherein, described focusing ring has a dielectric material portion that forms described contact portion and one and described electrostatic chuck and partly is present in therebetween conductive material portion in the face of also giving an account of electric material to some extent.
According to a first aspect of the invention, focusing ring has dielectric material portion, and this part forms and the contacted contact portion of electrostatic chuck, and with described electrostatic chuck in the face of and give an account of electric material to some extent and partly be present in therebetween conductive material portion.The result, when handled object is carried out plasma treatment, the amount of charge that produces electrostatic attraction between electrostatic chuck and focusing ring can be very large, thereby, can increase the electrostatic attraction between electrostatic chuck and the focusing ring, also increase the close exposure level between electrostatic chuck and the focusing ring, thereby can improve the heat conductivility between electrostatic chuck and the focusing ring.Therefore the cooling effectiveness of focusing ring is improved greatly, prevents the increase of pedestal expense simultaneously.
Preferably, dielectric material portion radially has a fixed thickness at focusing ring.
According to this optimal way, dielectric material portion radially has a fixed thickness focusing ring.Therefore, it is constant can making the electrostatic attraction between electrostatic chuck and the conductive material portion, thereby, can make the close exposure level uniformity between electrostatic chuck and the focusing ring.Like this, focusing ring can evenly be cooled off, and therefore, can stop the generation of the regional degeneration of etching characteristic.
Preferably, dielectric material portion is made up of the oxide of the material that constitutes conductive material portion.
According to this optimal way, dielectric material portion is made up of the oxide of the material that constitutes conductive material portion.Therefore, dielectric material portion can form by oxidic conductors material part.Therefore, focusing ring is easy to form, and in addition, can stop easily between dielectric material portion and the conductive material portion and the slit occur.
Preferably, the material of formation conductive material portion is a silicon.
According to this optimal way, the material that constitutes conductive material portion is a silicon.Therefore, this material obtains easily, thereby can further stop the increase of pedestal expense.
Preferably, the material of formation dielectric material portion is the dioxide of silicon.
According to this optimal way, the material that constitutes dielectric material portion is the dioxide of silicon.Therefore, dielectric material portion is formed easily, thereby can stop the increase of pedestal expense reliably.
In order to achieve the above object, a second aspect of the present invention, a kind of focusing ring is provided, has a contact portion that contacts with electrostatic chuck, handled object that will carry out plasma treatment of mounting mounting on electrostatic chuck, this focusing ring comprises: a dielectric material portion that forms contact portion; With one with electrostatic chuck in the face of and have dielectric material portion to be present in therebetween conductive material portion.
According to a second aspect of the invention, this focusing ring comprises: a dielectric material portion that forms contact portion; With one with electrostatic chuck in the face of and have dielectric material portion to be present in therebetween conductive material portion.The result, when handled object is carried out plasma treatment, the amount of charge that produces electrostatic attraction between electrostatic chuck and focusing ring can be very large, thereby, can increase the electrostatic attraction between electrostatic chuck and the focusing ring, also increase the close exposure level between electrostatic chuck and the focusing ring, thereby can improve the heat conductivility between electrostatic chuck and the focusing ring.Therefore the cooling effectiveness of focusing ring is improved greatly, prevents the increase of pedestal expense simultaneously.
In order to achieve the above object, a third aspect of the present invention provides a kind of pedestal, comprising: an electrostatic chuck, handled object that will carry out plasma treatment of mounting thereon; With a focusing ring, it has a contact portion that contacts with described electrostatic chuck, wherein, focusing ring has a dielectric material portion that forms contact portion and one and faces with electrostatic chuck and have dielectric material portion to be present in therebetween conductive material portion.
According to a third aspect of the invention we, focusing ring has a dielectric material portion that forms contact portion and one and faces with electrostatic chuck and have dielectric material portion to be present in therebetween conductive material portion.The result, when handled object is carried out plasma treatment, the amount of charge that produces electrostatic attraction between electrostatic chuck and focusing ring can be very large, thereby, can increase the electrostatic attraction between electrostatic chuck and the focusing ring, also increase the close exposure level between electrostatic chuck and the focusing ring, thereby can improve the heat conductivility between electrostatic chuck and the focusing ring.Therefore the cooling effectiveness of focusing ring is improved greatly, prevents the increase of pedestal expense simultaneously.
In order to achieve the above object, a fourth aspect of the present invention, a kind of plasma processing apparatus is provided, comprise: a pedestal, has an electrostatic chuck, one of mounting will be carried out handled object and focusing ring of plasma treatment thereon, and it has a contact portion that contacts with electrostatic chuck in the handled object periphery; With the heat exchange mechanism that is arranged at contact surface, be used to carry out heat exchange with focusing ring.
According to a forth aspect of the invention, the contact surface of plasma processing apparatus between electrostatic chuck and focusing ring has heat exchange mechanism.As a result, between electrostatic chuck and focusing ring, do not require cooling unit, and, can greatly improve the capacity of heat transmission between electrostatic chuck and the focusing ring, can improve the cooling effectiveness of focusing ring thus greatly, stop the increase of expense simultaneously.
Preferably, heat exchange mechanism comprises a groove, is arranged at contact surface and is filled with heat-conducting medium.
According to this optimal way, heat exchange mechanism comprises a groove, is arranged at described contact surface and is filled with heat-conducting medium.As a result, can make heat-conducting medium diffusion easily between electrostatic chuck and focusing ring, therefore, the cooling effectiveness of focusing ring can be improved widely.
More preferably, heat-conducting medium is that height is stepped on (Galden) liquid.
According to this optimal way, heat-conducting medium is that height is stepped on liquid.As a result, can obtain heat-conducting medium easily, therefore, easily stop the increase of expense.
More preferably, groove forms in focusing ring.
According to this optimal way, groove forms in focusing ring.As a result, can increase the contact range between focusing ring and the heat-conducting medium, and can suitably reduce the hardness of focusing ring, can make focusing ring distortion thus, being fit to the shape of electrostatic chuck, thereby can improve the close exposure level between electrostatic chuck and the focusing ring.Therefore, the cooling effectiveness of focusing ring can be greatly improved.
Alternatively, groove forms in electrostatic chuck.
According to this optimal way, groove also can form in electrostatic chuck.Therefore, there is no need in focusing ring, to form groove, can reduce the initial cost of focusing ring thus, thereby can stop the increase of expense.
More preferably, the degree of depth of groove is not less than 0.1mm.
According to this optimal way, the degree of depth of groove is not less than 0.1mm.As a result, can make conductibility become big (can reduce the flow resistance of heat-conducting medium), therefore, heat-conducting medium can be filled in the groove apace, and the cooling effectiveness of focusing ring can be significantly improved thus.
More preferably, make the passivation of groove bight.
According to this optimal way, make the passivation of groove bight.As a result, can stop and occur the slit in the groove, therefore can improve the durability of focusing ring, can stop the increase of maintenance cost thus.
More preferably, groove comprises that at least one has the groove with the concentric annular of focusing ring.
According to this optimal way, groove comprises that at least one has the groove with the concentric annular of focusing ring.As a result, heat-conducting medium evenly diffusion on the contact surface between focusing ring and the electrostatic chuck can be made, therefore, focusing ring can be evenly cooled off.
Preferably, heat exchange mechanism comprises the cooling body that is used to cool off described focusing ring.
According to this optimal way, focusing ring is cooled.As a result, the sediment that produces in the etching treatment procedure will be attached on the focusing ring, thereby stop sediment to be attached on the handled object.Because this sediment separates with handled object, therefore, when removing handled object, can stop particulate pollutant to occur.
Advantageously, heat exchange mechanism comprises a service duct, it gives described contact surface supply heat-conducting gas, plasma processing apparatus further comprises a controller, its control is from the pressure of the heat-conducting gas of described heat exchange mechanism supply, and wherein plasma treatment comprises a plurality of steps, and described controller changes the pressure of the heat-conducting gas of being supplied according to each step.
According to this optimal way, controller advantageously changes the pressure of the heat-conducting gas that is provided according to each step of plasma treatment.Therefore, the high frequency voltage that promptly is used in the plasma generation changes in each step, and the capacity of heat transmission between focusing ring and the electrostatic chuck still can change along with the variation of high frequency voltage, therefore can stably carry out the cooling of focusing ring.The local deteriorated that therefore, can stop the etching characteristic that handled object occurs.
Advantageously, plasma processing apparatus further comprises an electrode that is built in electrostatic chuck in the mode in the face of focusing ring, with a controller, control imposes on the voltage of electrode, wherein, electrode is attracted to electrostatic chuck to focusing ring by electrostatic attraction, and plasma treatment comprises a plurality of steps, and controller changes the voltage that imposes on electrode according to each step.
According to this optimal way, controller advantageously changes according to each step of plasma treatment and puts on the voltage that is built in the electrode in the electrostatic chuck.Therefore, even the high frequency voltage that plasma generates changes in each step, the capacity of heat transmission between focusing ring and the electrostatic chuck still can change along with the variation of high frequency voltage, therefore can stably carry out the cooling of focusing ring.The local deteriorated that therefore, can stop the etching characteristic that handled object occurs.
More preferably, heat exchange mechanism is reduced to the temperature of focusing ring at least 20 ℃ of temperature that are lower than electrostatic chuck.
According to this optimal way, heat exchange mechanism is reduced to the temperature of focusing ring at least 20 ℃ of temperature that are lower than electrostatic chuck.Therefore, sediment can be attached on the focusing ring reliably.
More preferably, heat exchange mechanism is reduced to the temperature of focusing ring and is no more than 0 ℃.
According to this optimal way, the temperature of focusing ring is lowered to and is no more than 0 ℃.Therefore, sediment is attached on the focusing ring more reliably.
Advantageously, heat exchange mechanism comprises the heating arrangements that is used to heat focusing ring.
According to this optimal way, focusing ring is advantageously heated.As a result, the removable sediment that adheres to.Therefore, the replacement cycle of the focusing ring that can extend, thus reduce maintenance cost.
Alternatively, focusing ring further comprises second heating arrangements that is used to heat focusing ring.
According to this optimal way, focusing ring also can comprise second heating arrangements that is used to heat focusing ring.As a result, can simplify the structure of heat exchange mechanism, therefore reduce the initial cost of device.
Alternatively, focusing ring is exposed in the Purge gas.
According to this optimal way, focusing ring can be exposed in the Purge gas.Remove the sediment that adheres to like this, easily.
In addition, focusing ring is exposed in the plasma.
According to this optimal way, focusing ring can be exposed in the plasma.Like this, in plasma treatment procedure, the removable sediment that is attached to focusing ring.Therefore, under the situation of the efficient that does not reduce plasma treatment, removable sediment.
Alternatively, heat exchange mechanism comprises a peltier effect (Peltier) device.
According to this optimal way, heat exchange mechanism can comprise a peltier effect (Peltier) device.Like this, do not need heat-conducting medium.Therefore, can simplify the structure of heat exchange mechanism, thereby reduce the initial cost of device.
In order to achieve the above object, a fifth aspect of the present invention, a kind of focusing ring is provided, around handled object, has a contact portion that contacts with electrostatic chuck, handled object that will carry out plasma treatment of mounting on electrostatic chuck, this focusing ring comprises the heat exchange mechanism that is arranged at contact surface, is used to carry out the heat exchange with focusing ring.
According to a fifth aspect of the invention, the contact surface of this focusing ring between electrostatic chuck and focusing ring has heat exchange mechanism.As a result, between electrostatic chuck and focusing ring, do not require cooling unit, and, can greatly improve the capacity of heat transmission between electrostatic chuck and the focusing ring, can improve the cooling effectiveness of focusing ring thus greatly, stop the increase of expense simultaneously.
In order to achieve the above object, a sixth aspect of the present invention provides a kind of pedestal, comprising: an electrostatic chuck, handled object that will carry out plasma treatment of mounting thereon; A focusing ring, it has a contact portion that contacts with described electrostatic chuck in the handled object periphery; With the heat exchange mechanism that is arranged at described contact surface, be used to carry out heat exchange with described focusing ring.
According to a sixth aspect of the invention, the contact surface of pedestal between electrostatic chuck and focusing ring has heat exchange mechanism.As a result, between electrostatic chuck and focusing ring, do not require cooling unit, and, can greatly improve the capacity of heat transmission between electrostatic chuck and the focusing ring, can improve the cooling effectiveness of focusing ring thus greatly, stop the increase of expense simultaneously.
In conjunction with the accompanying drawings and following description of drawings, above and other objects of the present invention, characteristics and advantage will be conspicuous especially.
Description of drawings
Fig. 1 is the sectional view of the structure of the plasma processing apparatus of a pedestal of use in the signal first embodiment of the present invention.
Fig. 2 be one according to first embodiment, the signal base structure sectional view.
Fig. 3 is a variant according to first embodiment, the sectional view of signal base structure.
Fig. 4 is another variant according to first embodiment, the sectional view of signal base structure.
Fig. 5 be one according to a second embodiment of the present invention, the signal base structure sectional view.
Fig. 6 is an a third embodiment in accordance with the invention, the sectional view of signal base structure.
Fig. 7 A and 7B are the sectional views that the heat-conducting gas of appearance in the schematic diagram 6 is imported the structure of groove.
Fig. 7 A is the view of signal from the observed focusing ring in the visual angle of contact surface.
Fig. 7 B is the sectional view that the line III-III in Fig. 7 A is obtained.
Fig. 8 A and 8B are the sequence chart that is shown in the variation of He pressure and F/R chuck voltage in the continuous dry etch process.
Fig. 9 is an a fourth embodiment in accordance with the invention, the sectional view of signal base structure.
Figure 10 is the sectional view of the structure of signal heating heater of focusing ring and heated focusing ring.
Figure 11 is the sectional view of signal base structure, and this pedestal has a peltier effect (Peltier) device.
Figure 12 is the sectional view of the structure of the plasma processing apparatus of a pedestal of use in the signal fifth embodiment of the present invention.
Figure 13 is the sectional view of structure of the essential part of the plasma processing apparatus of a signal shown in Figure 12.
Figure 14 is the figure of signal along with the etching state variation of the variation of focusing ring gap width G.
Figure 15 is the figure of signal along with the etching state variation of the variation of focusing ring gap width G.
Figure 16 is the sectional view of the structure of employed traditional pedestal in the signal plasma processing apparatus.
Figure 17 is the sectional view of the structure of a traditional pedestal of signal, and wherein the capacity of heat transmission between focusing ring and the electrostatic chuck is improved.
Figure 18 is the sectional view of the structure of a traditional Etaching device of signal.
Embodiment
Accompanying drawing referring now to the signal preferred embodiment is described the present invention in detail.
Fig. 1 is the sectional view of the structure of the plasma processing apparatus of a pedestal of use in the signal first embodiment of the present invention.
In Fig. 1, plasma processing apparatus is constructed to a kind of RIE type plasma processing apparatus, has a cylindrical cavity 10, and it is made up of a kind of metal, for example aluminium or stainless steel, and as the basis of safety; The cavity 10 here has been provided with the bottom electrode 11 of dish type, and wafer W of mounting in the above is as handled object.Bottom electrode 11 is made up of for example aluminium, and by cylinder support section 13, supports by the cylinder supporting element 12 of insulation, and cylinder support section 13 is from the upwards expansion of bottom vertical of cavity 10.
Between sidewall of cavity 10 and cylinder support section 13, form an exhaust passage 14; At the inlet of exhaust passage 14 or a circular baffle plate 15 is set in exhaust passage 14; 14 bottom is provided with an exhaust port 16 in the exhaust passage, and exhaust equipment 18 is connected with exhaust port 16 by blast pipe 17.Here, exhaust equipment 18 has a vacuum pump, and the pressure of handling in the space in the cavity 10 is reduced to a predetermined vacuum degree.And blast pipe 17 has an automatic pressure control valve (hereinafter referred is " APC ") (not indicating on the figure), and this is a variable butterfly valve, and this APC controls the internal pressure of cavity 10 automatically.Furtherly, sluice valve 20 plays the effect that opens and closes wafer W input/outbound port 19, and this valve is installed on the sidewall of cavity 10.
Produce the high frequency electric source 21 of plasma and RIE, be connected on the bottom electrode 11 by matching unit 22 and power supply feedback rod 23.High frequency electric source 21 is applied to predetermined high-frequency such as the high-frequency electrical energy of 60MHz on the bottom electrode 11.And, injector head 24 is set, as the top electrode with ground potential, it is positioned at the top of cavity 10, describes after a while.High frequency voltage from high frequency electric source 21 has been applied between bottom electrode 11 and the injector head 24 like this.
Attract the electrostatic chuck 25 of wafer W to be arranged on the upper surface of bottom electrode 11 by electrostatic attraction.Electrostatic chuck 25 comprises, dish type core 25a and concentric annular outer peripheral portion 25b; With respect to outer peripheral portion 25b, core 25a is (the making progress in Fig. 1) of protruding.And, core 25a is made of the battery lead plate 25c in the middle of sandwiching, battery lead plate 25c is included in the conductor thin film between a pair of dielectric film, and outer peripheral portion 25b is made of the battery lead plate 25d in the middle of sandwiching, and battery lead plate 25d is included in the conductor thin film between a pair of dielectric film; And then DC power supply 26 is electrically connected with battery lead plate 25c by switch 27, and DC power supply 28 is electrically connected with battery lead plate 25d by switch 29.Electrostatic chuck 25 attracts by Johnsen-Rahbek power or Coulomb force and keeps wafer W, and these power are to be caused by the dc voltage from DC power supply 26.
Be installed on round the focusing ring 30 of the core 25a ring-type of electrostatic chuck 25 on the upper surface of outer peripheral portion 25b of electrostatic chuck 25.Bottom electrode 11, electrostatic chuck 25 and focusing ring 30 be the primordial seat together.
Furtherly, the inside of bottom electrode 11 provides a concentric coolant cavity 31, and for example, it can be expanded at circumferencial direction.For example, at the cooling agent such as the cooling water of predetermined temperature, can be input to coolant cavity 31 from chiller unit 32, circulate by pump 33 and 34, the treatment temperature of the wafer W on the electrostatic chuck 25 can be controlled by the temperature of this cooling agent.And heat-conducting gas as helium,, is input in the slit between the back side of electrostatic chuck 25 and wafer W by gas feedthroughs 36 from heat-conducting gas feeding unit 35, has improved the heat conductivility between wafer W and the electrostatic chuck 25 like this.
The injector head 24 that is positioned at the top has a lower surface electrode plate 37, and it has many pore 37a, and electrode supporting 38 is removably supporting battery lead plate 37.And electrode supporting 38 inside are provided with cushion chamber 39, link to each other with the gas input port 38a of cushion chamber 39 from the gas transfer pump 41 of handling gas supply unit 40.Annular or the magnet 42 that extends with one heart be distributed in cavity 10 around.
The part of plasma processing apparatus, as exhaust equipment 18, high frequency electric source 21, the switch 27 and 29 that is used for electrostatic chuck, chiller unit 32, heat-conducting gas feeding unit 35, processing gas supply unit 40 etc., all the controller 43 with the operation of controlling these element is connected.
Cavity 10 inside of plasma processing apparatus, the horizontal magnetic field that is oriented to a direction is formed by magnet 42, and a RF (radio frequency, i.e. high frequency) electric field is to form in vertical direction by the high frequency voltage that applies between bottom electrode 11 and injector head 24; As a result, by the processing gas generation magnetron discharge in the cavity 10,, produce highdensity plasma from handling gas at the near surface of bottom electrode 11.
In the dry etch process process, use this plasma processing apparatus, at first open lock or valve 20, pending wafer W is admitted in the cavity 10, and is installed on the electrostatic chuck 25.Handle gas and (as comprise C 4F 8, O 2With the mist of argon gas, and with predetermined flow velocity mixed) with predetermined flow with pre-determine flow velocity and be input to cavity 10, utilize exhaust equipment 18 equipment such as grade from handling gas supply unit 40, the pressure in the cavity 10 are set at a predetermined value.And then high-frequency electrical energy is applied on the bottom electrode 11 from high frequency electric source 21, and the dc voltage of DC power supply 26 is applied on the battery lead plate 25c of electrostatic chuck 25, thereby attracts wafer W near electrostatic chuck 25.Handle gas from injector head 24 discharges, so just produced plasma as mentioned above, the surface of wafer W is by the etching of the atomic group of this plasma generation, ion or the like material.
By to bottom electrode 11 high frequency of a frequency range (50MHz at least) in addition, high frequency is much higher than traditional frequency (being no more than 27MHz usually) usually, and in this plasma processing apparatus, handling that gas is ionized is a desirable state.Gas becomes plasma after the ionization, therefore, even under low pressure, also can form highdensity plasma.In so highdensity plasma, oxidation and nitrogen treatment can be finished, and wafer W are not produced infringement simultaneously, and like this, high-density plasma greatly helps realizing the high-performance and the low energy consumption of semiconductor device.Especially, might stop owing to the high energy particle in the plasma and for example cause wafer W damaged and pollute from the metallic atom of the inwall of process chamber radiation, this is caused by the high energy particle collision, therefore, plasma treatment can be applicable to require to form the gate formation step of high-quality insulation film.Thereby, according to the plasma processing apparatus of present embodiment, can solve caused technical problem in the development of ultra-fine processing procedure of wafer W.
Fig. 2 is the sectional view of the base structure of signal first embodiment.
Pedestal according to first embodiment can be used in the plasma processing apparatus, and wherein etched film is an oxide film on the wafer W.
As shown in Figure 2 with mentioned above, comprise bottom electrode 11 according to the pedestal of first embodiment, be placed on the electrostatic chuck 25 of the upper surface of bottom electrode 11, and focusing ring 30, it is installed in the upper surface of the outer peripheral portion 25b of electrostatic chuck 25.
Wherein bottom electrode 11 has coolant cavity 31, electrostatic chuck 25 has the battery lead plate 25c that is positioned at core 25a, and the battery lead plate 25d that is positioned at outer peripheral portion 25b, focusing ring 30 has dielectric material portion 30a, it forms the contact portion that contacts with outer peripheral portion 25b, and having conductive material portion 30b, it is by dielectric material portion 30a and towards outer peripheral portion 25b.
Here, because etched film is an oxide film on the wafer W, preferentially be made of silicon (Si) so be exposed to the part of the focusing ring 30 of plasma, therefore, conductive material portion 30b is made of silicon, and dielectric material portion 30a is by silicon dioxide (SiO 2) constitute, silicon dioxide is the oxide of silicon.
When making wafer W carry out dry etch process, high frequency electric source is by high frequency energy source 21, supply with bottom electrode 11, to produce plasma, high voltage from DC power supply 26 puts on battery lead plate 25c, by electrostatic attraction wafer W is attracted to core 25a, puts on battery lead plate 25d, focusing ring 30 is attracted to outer peripheral portion 25b by electrostatic attraction from the high voltage of DC power supply 28.Put on high voltage controlled device 43 controls of battery lead plate 25c and 25d.When utilizing the traditional focus ring that only constitutes to produce plasma by conductor material, whole focusing ring becomes and plasma has same negative voltage, but owing to there is not the material of the flow of charge between any obstruction focusing ring and the electrostatic chuck, negative electrical charge on the focusing ring flows out to electrostatic chuck by the contact surface between focusing ring and the electrostatic chuck.Therefore, the electric charge of the electrostatic attraction between generation focusing ring and the electrostatic chuck is reduced.On the other hand, focusing ring 30 according to the first embodiment of the present invention, conductive material portion 30b becomes and plasma has same negative voltage, therefore, in the dielectric material portion 30a at the interface of dielectric material portion 30a and conductive material portion 30b, owing to induction produces positive charge, like this, by the dielectric polarization in the dielectric material portion 30a at the interface of dielectric material portion 30a and electrostatic chuck 25, and produce negative electrical charge.In addition, under the situation that the surface portion of electrostatic chuck 25 is made of dielectric material, the dielectric polarization by in the surface portion of the electrostatic chuck at the interface 25 of electrostatic chuck 25 and dielectric material portion 30a will produce positive charge.By the work of these electric charges, can increase the electrostatic attraction between electrostatic chuck 25 and the focusing ring 30.
At this moment, put on the voltage of battery lead plate 25d, determine by the specific electrical resistance of dielectric material portion 30a by DC power supply 28.Especially, if specific electrical resistance is 1013 Ω at least, the electric charge that induces by conductive material portion 30b and the electrostatic attraction that produces will be a Coulomb force then, applied like this voltage will approximately be 1.5 to 4.0kV, otherwise, if specific electrical resistance is less than 1013 Ω, then above-mentioned electrostatic attraction will be a Johnsen-Rahbek power, and applied like this voltage will approximately be 0 to 1.0kV.
In addition, the thickness of dielectric material portion 30a radially is constant at focusing ring 30; The thickness of dielectric material portion 30a is big more, and the heat conductivility between electrostatic chuck 25 and the conductive material portion 30b is just poor more, so this thickness is preferably low.Yet in the first present embodiment, etched film is an oxide film on the wafer W, and the dielectric material portion 30a that is made of silicon dioxide will be consumed along with repeating of plasma treatment.Therefore, the thickness of dielectric material portion 30a is that the thickness that is consumed in a maintenance period is necessary at least.
Pedestal according to first embodiment, focusing ring 30 is made up of dielectric material portion 30a and conductive material portion 30b, the former has formed a contact portion that contacts with outer peripheral portion 25b, and the latter faces with the outer peripheral portion 25b of electrostatic chuck 25 mutually by dielectric material portion 30a.As a result, when wafer W is carried out dry etch process, can stop the flow of charge to electrostatic chuck 25, therefore compare, can suppress to produce the loss of the electric charge of electrostatic attraction with traditional focusing ring from the dielectric material portion 30a of focusing ring 30 by contact portion; Therefore, the electrostatic attraction between electrostatic chuck 25 and the focusing ring 30 can increase, and thereby increased close exposure level between electrostatic chuck 25 and the focusing ring 30, improved the heat conductivility between the two.As a result, will greatly improve the cooling effectiveness of focusing ring 30, do not increase the expense of pedestal simultaneously.
In addition, the thickness of dielectric material portion 30a radially is constant focusing ring 30, makes that like this electrostatic attraction between electrostatic chuck 25 and the focusing ring 30 is constant, therefore makes that the close exposure level between electrostatic chuck 25 and the focusing ring 30 is uniform; Therefore, focusing ring 30 can be evenly cooled off, thereby the generation of the regional degeneration of etch features can be stoped.
Furtherly, the material that constitutes conductive material portion 30b is a silicon, so the acquisition of this material is easy, thereby can further stop the increase of pedestal expense.Furtherly, the material that constitutes dielectric material portion 30a is a silicon dioxide, therefore can form dielectric material portion 30a easily by spraying, thereby stop the increase of pedestal expense reliably; In addition,, can make smooth surface with the contact portion of focusing ring 30, thereby can further improve the close exposure level between electrostatic chuck 25 and the focusing ring 30 by the dielectric material portion 30a that spray to form.
According to the pedestal of first embodiment mentioned above, the thickness of dielectric material portion 30a radially is constant focusing ring 30; Yet, dielectric material portion 30a can be built into the inboard thickness that outwards increases from focusing ring 30, as shown in Figure 3, maybe can be built in the lateral of focusing ring 30 increases thickness, as shown in Figure 4.
In addition, dielectric material portion 30a can be configured to the inboard dielectric constant that outwards increases from focusing ring 30, and maybe can be configured in the lateral of focusing ring 30 increases dielectric constant.
And then, with the pedestal of describing in detail according to a second embodiment of the present invention.
Basically have identical components and operation described in previous first embodiment according to the pedestal of second embodiment, therefore the description with equitant structure of first embodiment and operating aspect be will omit here, structure and the operating aspect different only described below with first embodiment.
Fig. 5 is the sectional view of the base structure of the signal second embodiment of the present invention.
Pedestal according to second embodiment can be used in the plasma processing apparatus, and wherein etched film is a polysilicon membrane on the wafer W.
As shown in Figure 5, pedestal according to second embodiment, focusing ring 30 is made up of dielectric material portion 30c, conductive material portion 30d and another dielectric material portion 30e, dielectric material portion 30c has formed a contact portion that contacts with the outer peripheral portion 25b of electrostatic chuck 25, conductive material portion 30d faces with outer peripheral portion 25b mutually by dielectric material portion 30c, and another dielectric material portion 30e is installed on the conductive material portion 30d.
The structure of bottom electrode 11 and electrostatic chuck 25 and first embodiment's is identical.
Here, because etched film is a polysilicon membrane on the wafer W, focusing ring 30 will be exposed to the part of plasma preferably to be made by the material outside the silicon, thereby other dielectric material portion 30e is made by silicon dioxide.And then dielectric material portion 30c is also made by silicon dioxide, and conductive material portion 30d is made by silicon; The part of conductive material portion 30d is exposed to plasma, and is in contact with it.
When wafer W was carried out dry etch process, DC power supply 28 applied a high voltage for battery lead plate 25d.When plasma generation, the conductive material portion 30d that contacts with plasma, the same with plasma, has a negative potential, therefore, produce positive charge owing to responding on the dielectric material portion 30c in the interface of dielectric material portion 30c and conductive material portion 30d, like this, owing to produce negative electrical charge by the polarization of dielectric of the dielectric material portion 30c in dielectric material portion 30c and electrostatic chuck 25 interfaces.And then when the surface portion of electrostatic chuck 25 is under the situation about being made by dielectric material, the surface portion of electrostatic chuck 25 on the interface of the surface portion of electrostatic chuck 25 and dielectric material portion 30c is owing to dielectric polarization produces positive charge.Electrostatic attraction between electrostatic chuck 25 and the focusing ring 30 can increase by the effect of these electric charges.
Here, because the traditional focus ring is only to be made by dielectric material, suppose that battery lead plate 25d and plasma are two electrodes of capacitor, even considering the dielectric material of putting between these two electrodes (focusing ring) goes up electric charge and constantly adds up, because the thickness of dielectric material is too big, the electric capacity of capacitor will be not enough, that is to say, this will unlikely be added to a large amount of electric charges that produce electrostatic attraction.On the other hand, focusing ring 30 according to second embodiment of the present invention, suppose that battery lead plate 25d and conductive material portion 30d are two electrodes of capacitor, the dielectric material of putting between two electrodes is dielectric material portion 30c, it is compared with the traditional focus ring is enough thin, and therefore, the electric capacity of capacitor can be done very greatly, that is to say, can be added to a large amount of electric charges that can produce electrostatic attraction.
The thickness of dielectric material portion 30c and conductive material portion 30d upwards is constant in the footpath of focusing ring 30, and preferably each of these two thickness all is little.Yet among second present embodiment, etched film is a polysilicon membrane on wafer W, thereby the conductive material portion 30d by silicon is made will be consumed along with repeating plasma treatment.Like this, the thickness of conductive material portion 30d is necessary it is with the minimum thickness that is consumed in a maintenance period.
Pedestal according to second embodiment, focusing ring 30 has dielectric material portion 30c and conductive material portion 30d, the former has formed a contact portion that contacts with the outer peripheral portion 25b of electrostatic chuck 25, the latter by with outer peripheral portion 25b between dielectric material portion 30c and corresponding with outer peripheral portion 25b.The result, when wafer W is carried out dry etch process, the quantity of electric charge that produces electrostatic attraction can be very many, therefore, the electrostatic attraction between electrostatic chuck 25 and the focusing ring 30 can increase, and thereby increased close exposure level between electrostatic chuck 25 and the focusing ring 30, improved the heat conductivility between the two, as a result, will greatly improve the cooling effectiveness of focusing ring 30, do not increase the expense of pedestal simultaneously.
Here, according to the pedestal of second embodiment, the thickness of dielectric material portion 30c upwards is constant in the footpath of focusing ring 30; But, as the pedestal of describing a little earlier according to first embodiment, dielectric material portion 30c can make up like this, promptly from the inside of focusing ring 30 outwards or from outside its thickness that inwardly increases of focusing ring 30, further, dielectric material portion 30c can make up like this, promptly from the inside of focusing ring 30 outwards or from outside its dielectric constant that inwardly increases of focusing ring 30.
Each pedestal according to first and second embodiment described above, use silicon to constitute conductive material portion as material, but the material of any presented in negative electrical charge that contacts with plasma also can be used, as the material that constitutes conductive material portion, for example, semiconductor AL, or other similar material also can use.As a result, the charge inducing of conductive material portion can further increase, thereby has further improved the close exposure level between electrostatic chuck 25 and the focusing ring 30, therefore can further improve the heat conductivility between the two.
In addition, each pedestal according to first and second embodiment described above, use silicon dioxide to constitute dielectric material portion as material, but any insulating material (especially having high dielectric constant materials) can be used, as the material that constitutes dielectric material portion, for example, silicon nitride, alumite or the like can be employed.Here, be used as the material that constitutes dielectric material portion, then form this dielectric material portion by conductive material portion is carried out oxidation if constitute the oxide of the material of conductive material portion.Like this, focusing ring 30 can easily form, and then, can stop the generation in the slit between dielectric material portion and the conductive material portion, thereby further improve the charge inducing of conductive material portion.
Moreover the method that forms dielectric material portion is not limited to spray, and CVD, impregnating method also can be used, as the proper method consistent with material.
Next step, each pedestal according to first and second embodiment described above, electrostatic chuck 25 and dielectric material portion 30a or 30c are in direct contact with one another, but the elasticity heat resistanceheat resistant member of making by materials such as conductive silicon rubbers, can be inserted between electrostatic chuck 25 and dielectric material portion 30a or the 30c, can further improve the heat conductivility between electrostatic chuck 25 and the focusing ring 30 like this.Helium can be charged between electrostatic chuck 25 and dielectric material portion 30a or the 30c as backside gas, so also can improve heat conductivility.
And then, will describe the pedestal of a third embodiment in accordance with the invention in detail.
Basically have identical components and operation described in previous first embodiment according to the pedestal of the 3rd embodiment, therefore the description with equitant structure of first embodiment and operating aspect be will omit here, structure and the operating aspect different only described below with first embodiment.
Pedestal according to the 3rd embodiment, will describe as following, heat-conducting gas (heat-conducting medium) from heat-conducting gas feeding unit 35, as helium, be imported into the slit between the back side of the upper surface of core 25a of electrostatic chuck 25 and wafer W by gas feedthroughs 46, slit between the upper surface of the outer peripheral portion 25b of electrostatic chuck 25 and the back side of focusing ring 30, and the slit between bottom electrode 11 and the electrostatic chuck 25, improved like this between wafer W and the electrostatic chuck 25, between focusing ring 30 and the electrostatic chuck 25, and the heat conductivility between electrostatic chuck 25 and the bottom electrode 11.
Fig. 6 is a signal constitutional diagram, and expression is according to the structure of the pedestal of the 3rd embodiment.
As shown in Figure 6, the pedestal that coexists among first embodiment is the same, comprises a bottom electrode 11 according to the pedestal of the 3rd embodiment, is positioned at the electrostatic chuck 25 on bottom electrode 11 upper surfaces, and focusing ring 30, it is installed on the upper surface of outer peripheral portion 25b of electrostatic chuck 25.
Here, gas feedthroughs 46 has a wafer position pipeline 46a and focusing ring position pipeline 46b, and the former is opened on the upper surface of core 25a, and the latter is opened on two places of outer peripheral portion 25b upper surface; Two openings of focusing ring position pipeline 46b are symmetrically distributed in the upper surface of outer peripheral portion 25b, so that the center of core 25a is in the centre (referring to Fig. 7 A) of these two openings.
Wafer position pipeline 46a has 80 and close/open valves 81 of a PCV (pressure-control valve); PCV80 and close/open valve 81 are connected to controller 43, and it controls the operation of PCV80 and close/open valve 81.PCV80 controls the helium pressure that is fed to the back side of wafer W from wafer position pipeline 46a, and close/open valve 81 is closed the wafer position pipeline 46a that comes from heat-conducting gas feeding unit 35, responds with the order to controller 43.
Focusing ring position pipeline 46b has PCV82 and close/open valve 83 equally; PCV82 and close/open valve 83 are connected to controller 43, and it controls the operation of PCV82 and close/open valve 8.PCV82 control is fed to the air pressure that heat-conducting gas imports the helium of groove 44 (explanation is hereinafter arranged) from focusing ring position pipeline 46b, close/open valve 83 is closed the focusing ring position pipeline 46b from heat-conducting gas feeding unit 35, responds with the order to controller 43.
And then focusing ring position pipeline 46b has the opening of focusing ring position pipeline 46b and the chamber delivery system 84 between the close/open valve 83, and chamber delivery system 84 is made up of two pipeline 85a and 85b.Pipeline 85a and 85b each have an end to link to each other with focusing ring position pipeline 46b, and the other end is connected with each other, and forms a single pipeline that is connected with the inside of cavity 10.Pipeline 85a has a close/open valve 86, and pipeline 85b has a close/open valve 87 and a collapsible tube 88.Close/ open valve 86 and 87 is connected to controller 43, is used to control the operation of close/ open valve 86 and 87.
Further, wafer position pipeline 46a also can have a system similar to chamber delivery system 84, as shown in Figure 6.
Preferably, a plurality of wafers position pipeline 46a is set, each all is opened on the peripheral part at the back side of the upper surface of core 25a and wafer W in the mode relative with core, and wherein the temperature of wafer W can suitably be controlled.
And then focusing ring 30 has a heat-conducting gas and imports groove 44, and it is formed at the contact surface (contact portion) that contacts with outer peripheral portion 25b; The material of focusing ring 30 will suitably be selected, and with consistent with the etched film type of wafer W, for example, when the etched film of wafer W is oxide film, can uses silicon, and when the etched film of wafer W is polysilicon membrane, then use silicon dioxide.Silicon nitride (SiN), the aluminium that anodized is crossed (Al), carborundum (SiC), or the like also can use.
Fig. 7 A and 7B are schematic diagrames, and the heat-conducting gas in the presentation graphs 6 imports the structure of groove 44; Especially, Fig. 7 A is that Fig. 7 B is the III-III sectional view of pipeline among Fig. 7 A when a view of the expression focusing ring of observing from contact surface 30.
Shown in Fig. 7 A and 7B, heat-conducting gas imports groove 44 and form the inner ring importing groove 44a that has with focusing ring 30 concentric annulars on contact surface; Have with focusing ring 30 concentric annulars and around the outer ring that inner ring imports groove 44a and import groove 44b; And the radially importing groove 44c that 44a and 44b are coupled together.The diameter that the outer ring imports groove 44b approximates the distance of focusing ring position pipeline 46b between two openings of the upper surface of outer peripheral portion 25b greatly.
In general, the center of focusing ring 30 is that the center with the core 25a of electrostatic chuck 25 is consistent, therefore when focusing ring 30 is installed in the upper surface of outer peripheral portion 25b, the opening of focusing ring position pipeline 46b is mutually opposed with outer ring importing groove 44b, therefore the helium of importing from the opening of focusing ring position pipeline 46b is directed to heat-conducting gas and imports groove 44b.
And then inner ring imports groove 44a, and the outer ring imports groove 44b and radially imports groove 44c has the basic rectangular shape of cross section that is, for example width is 1mm, and the degree of depth is 0.1 to 1.0mm, 0.5mm at least preferably, and, make the bight passivation.
And then, to the variation (hereinafter referred is " helium pressure ") that helium enters the supply pressure of heat-conducting gas groove 44 be described, and in the dry etch process process, pass to high voltage for battery lead plate 25d, to attract the outer peripheral portion 25b (hereinafter referred be " F/R chuck voltage ") of focusing ring 30 near electrostatic chuck 25.
Fig. 8 A and 8B are the precedence diagrams of helium pressure and F/R chuck change in voltage in the continuous dry etch process process.
In Fig. 8 A and 8B, continuous dry etch process comprises: PCV adjusting at zero point order, and wherein carry out the zero point of PCV82 and regulate; The lysimetric test order wherein can be checked to be input to the seepage that heat-conducting gas imports the helium of groove 44; Move into order, wherein wafer W is moved into cavity 10; Processing sequence is wherein carried out dry ecthing to the wafer W of being moved into; Take out of order, wherein wafer W is taken out of from cavity 10 after dry etch process; The bottom electrode discharge order, the bottom electrode 11 that has wherein charged in the dry etch process will discharge; By the appropriate combination of these orders, finish continuous dry etch process.
At first, nitrogen is charged into cavity 10 (nitrogen purge begins), opens APC, and operation exhaust equipment 18 reduces cavity 10 pressure inside like this.
And then, in PCV adjusting at zero point order, close PCV82, cut off heat-conducting gas feeding unit 35 to focusing ring position pipeline 46b like this, open close/ open valve 83,86 and 87.Focusing ring position pipeline 46b is deflated equipment 18 emptyings by chamber delivery system 84.Emptying operates in the preset time and proceeds, and then, based on focusing ring position pipeline 46b pressure inside, carries out PCV82 adjusting at zero point order (PCV zero point regulate continuation).Regulate the zero point of carrying out PCV82 at the beginning in the dry etch process process that continues, and can control helium air pressure in order subsequently exactly.And then when the air pressure of cavity 10 inside reduced owing to emptying focusing ring position pipeline 46b, cavity 10 air pressure difference inner and that heat-conducting gas imports between groove 44 inside can be eliminated.As a result, focusing ring 30 can not isolated owing to such air pressure difference.
Next step, in the lysimetric test order, close APC, owing to the control of APC has improved cavity 10 pressure inside (hereinafter referred is " pressure of APC control "), wherein, the pressure of APC control is set at F/R chuck gravitation pressure, and this is cavity 10 pressure inside when checking seepage.When the pressure rising of APC control, in case reach 6.65 * 10 4Pa (500 holder) passes to high voltage then for battery lead plate 25d, and wherein F/R chuck voltage is set at the interim gravitation voltage of F/R chuck of an interim gravitation, and then, after 2.5 seconds, F/R chuck voltage is set at a F/R chuck suction voltage that is used for main gravitation.Pressure up to APC control reaches 6.65 * 10 4It is that even battery lead plate 25d is passed to high voltage, focusing ring 30 can not be attracted to electrostatic chuck 25 when the pressure in the cavity 10 is low that Pa just begins to pass to high-tension reason to battery lead plate 25d.
Then, helium is charged into heat-conducting gas and is imported groove 44 from focusing ring position pipeline 46b, in case and helium pressure is when reaching F/R lysimetric test pressure, close PCV82 (helium air pressure is placed in " pass " state), after preset time, measure focusing ring position pipeline 46b pressure inside, whether the pressure that decision is measured is in the predetermined scope.Be pointed out that lysimetric test can be selected not undertaken by the mode of measuring focusing ring position pipeline 46b pressure inside, but is undertaken by the mode of measuring focusing ring position pipeline 46b internal gas flow velocity.
If the pressure of measuring is in the predetermined scope, then, in moving into order, open APC, F/R chuck voltage is made as moves into time F/R chuck voltage simultaneously, helium pressure is set at moves into time F/R cooling pressure.In case helium pressure settles out, wafer W is moved into cavity 10, and is installed in and is attracted to electrostatic chuck 25, suspends then to cavity 10 input nitrogen (nitrogen purge is closed).
Next step in processing sequence, closes APC, thereby rising APC controlled pressure to a processing pressure, this is cavity 10 pressure inside that dry ecthing needs, and the etching that hops to it, according to each step in the dry-etching method, for example, helium pressure becomes the cooling pressure of step 1F/R then, become the cooling pressure of step 2F/R again, or the like, simultaneously, for example, F/R chuck voltage becomes the F/R chuck voltage of step 1, becomes the F/R chuck voltage of step 2 again, or the like.The F/R chuck voltage equivalence of the F/R cooling pressure of step 1 and step 1 is set in advance, so that the temperature of focusing ring 30, even the high frequency voltage that is applied to bottom electrode 11 and the high voltage that puts on battery lead plate 25c carrying out and still remain unchanged when changing with step.According to the plasma processing apparatus of this 3rd embodiment, each all was set at for 24 steps the F/R cooling pressure of step n and the chuck voltage of step n.
After finishing dry ecthing, in taking out of order, open APC, F/R chuck voltage is set at takes out of time F/R chuck voltage, as in the order of described PCV adjusting at zero point hereinbefore, close PCV82, open close/ open valve 83,86 and 87, regulate the zero point of carrying out PCV82 (PCV zero point regulate continuation).Afterwards, N 2Gas is filled into cavity 10 (N 2Purify beginning), helium pressure is made as takes out of time F/R cooling pressure, and wafer W is taken out of from cavity 10 after accepting dry etch process.
After wafer W is taken out of, in the bottom electrode discharge order, close APC, the pressure of setting APC control like this is processing pressure, carries out the discharge of bottom electrode 11.Then, moving in the order subsequently, open APC, F/R chuck voltage is set at moves into time F/R chuck voltage, and helium pressure is set at moves into time F/R cooling pressure, moves into N 2Gas is ended (N to the process of cavity 10 2Purification is closed).Next wafer W (i.e. second wafer W) is then moved into cavity 10, installs then and attracts at electrostatic chuck 25.
Next step, processing sequence and take out of order execution as indicated above, when second wafer W accepted dry etch process, and after being taken out of, the bottom electrode discharge order is as indicated above and be performed.
Above move into order, processing sequence is taken out of order and bottom electrode discharge order and is repeated, with consistent with the number of wafer W in a group, for example 25.
Not that F/R chuck voltage and helium pressure are made as zero, and taking out of order and moving into the cooling of carrying out focusing ring 30 in the sequential process, its reason is to prepare for the dry ecthing of next wafer W, promptly remove the heat of focusing ring 30 fully, like this, make the dry ecthing conditionally complete unanimity of all wafer W.
And then, regulate at zero point of PCV82 always to move in the order and carry out at each, that is, PCV82 regulates normally and carry out for each processing sequence zero point.As a result, helium pressure can be controlled in each processing sequence exactly.
Bottom electrode discharge order in one group is opened APC after carrying out the last time, sets F/R chuck voltage for moving into time F/R chuck voltage, and helium pressure is set at moves into time F/R cooling pressure; Pass through then after the preset time, close PCV82, open close/ open valve 83,86 and 87, carry out the exhaust of focusing ring position pipeline 46b like this.When helium after focusing ring position pipeline 46b is removed, helium pressure is set at once more moves into time F/R cooling pressure, and F/R chuck voltage is set at zero, has so just eliminated the electrostatic attraction of 30 pairs of electrostatic chucks 25 of focusing ring.
Be to be noted that F/R chuck voltage and the helium pressure curve fluctuations in the sequence list in Fig. 8 A and 8B, only represent the value that F/R chuck voltage and helium pressure change, do not represent the size that is worth.
Order according to Fig. 8 A and 8B, the F/R chuck voltage of setting and the value of helium pressure change in moving into order, processing sequence and taking out of in proper order, especially, each step in the processing sequence all changes, so the cooling of focusing ring 30 can be finished reposefully.The generation of the regional degeneration of wafer W etch features thereby can be prevented from.
Pedestal according to the 3rd embodiment, focusing ring 30 has heat-conducting gas and imports groove 44, it is formed at the contact surface that contacts with the outer peripheral portion 25b of electrostatic chuck 25, when focusing ring 30 is installed in the upper surface of outer peripheral portion 25b, the opening of focusing ring position pipeline 46b is mutually opposed with heat-conducting gas importing groove 44, therefore, come the helium of Self-focusing ring position pipeline 46b to be charged into heat-conducting gas importing groove 44; Subsequently, no longer need cooling unit between electrostatic chuck 25 and the focusing ring 30, and helium can be spread between electrostatic chuck 25 and focusing ring 30 effectively, and then the contact area between focusing ring 30 and the helium is with extended.Therefore heat conductivility between electrostatic chuck 25 and the focusing ring 30 is effectively improved, and the cooling effectiveness of focusing ring 30 is improved greatly, does not increase the expense of plasma processing apparatus simultaneously.Further, heat-conducting gas imports groove 44 can make the hardness of focusing ring 30 suitably reduce, and focusing ring 30 can be out of shape thus, adapting to the shape of electrostatic chuck 25, and improves the close exposure level between electrostatic chuck 25 and the focusing ring 30.As a result, can also improve the cooling effectiveness of focusing ring 30 biglyyer.
And the degree of depth of heat-conducting gas importing groove 44 is 0.1mm at least, thereby its thermal conductivity is increased, and like this, helium can charge into heat-conducting gas fast and import groove 44, can improve the cooling effectiveness of focusing ring 30 significantly.
Further, make heat-conducting gas import the bight passivation of groove 44, can prevent that heat-conducting gas from importing the generation of crackle in the groove 44, can improve the durability of focusing ring 30 like this, prevent to increase maintenance cost.
And, heat-conducting gas imports groove 44 and has formed an inner ring that has with focusing ring 30 concentric annulars at contact surface and import groove 44a, with one have with focusing ring 30 concentric annulars and around the outer ring that inner ring imports groove 44a and import groove 44b, and inner ring imported groove 44a and the outer ring imports the radially importing groove 44c that groove 44b couples together; As a result, helium can (that is, spread all over contact surface) equably between electrostatic chuck 25 and focusing ring 30 diffusion, so focusing ring 30 can evenly cool off.
According to the pedestal of the above the 3rd embodiment, heat-conducting gas imports groove 44 and has two dwell of cams at contact surface; Yet the structure that heat-conducting gas imports groove 44 is not limited thereto, and can suitable variation be arranged along with the size of focusing ring 30 and hardness, for example, and single annular section, or, three or more annular sections.
And heat-conducting gas imports groove 44 not to need to have and radially imports groove 44c; Do not have when radially importing groove 44c when heat-conducting gas imports groove 44, the opening that gas feedthroughs 46 imports groove 44a towards inner ring preferably is arranged at the upper surface of outer peripheral portion 25b.
And the open amount of focusing ring position pipeline 46b is not limited to two, and the opening of focusing ring position pipeline 46b can be distributed in upper surface 3 places of outer peripheral portion 25b or many places more.
And then, will be described in detail according to the pedestal of the 4th embodiment of the present invention.
Basically have identical components and operation described in previous the 3rd embodiment according to the pedestal of the 4th embodiment, therefore will omit the description with the 3rd equitant structure of embodiment and operating aspect here, only describe below and the 3rd structure that embodiment is different and operating aspect.
Fig. 9 is the structural section schematic diagram of an expression according to the pedestal of the 4th embodiment.
As shown in Figure 9, comprise a bottom electrode 11 again according to the pedestal of the 4th embodiment, be positioned at the electrostatic chuck 25 on bottom electrode 11 upper surfaces, and focusing ring 30, it is installed on the upper surface of outer peripheral portion 25b of electrostatic chuck 25.
Here, electrostatic chuck 25 has a heat-conducting gas and imports groove 45, and it is formed at the upper surface of outer peripheral portion 25b; Heat-conducting gas imports the upper surface of groove 45 at outer peripheral portion 25b, formed to have and imported groove 45a with the concentric annular inner ring of core 25a, import groove 45b with having with the concentric annular of core 25a and importing groove 45a outer ring, and inner ring importing groove 45a and outer ring are imported the radially importing groove (not signal) that groove 45b couples together around inner ring; The focusing ring position pipeline 46b of gas feedthroughs 46 is connected to the outer ring and imports groove 45b.As a result, the helium of focusing ring position pipeline 46b opening supply fills into heat-conducting gas and imports groove 45.
In general, the center of focusing ring 30 is that the center with the core 25a of electrostatic chuck 25 is consistent, therefore when focusing ring 30 is installed in the upper surface of outer peripheral portion 25b, is provided with that inner ring imports groove 45a and outer ring importing groove 45b is concentric with focusing ring 30.
In addition, inner ring imports groove 45a, and the outer ring imports groove 45b and radially imports groove has the basic rectangular shape of cross section that is, for example width is 1mm, and the degree of depth is 0.1 to 1.0mm, 0.5mm at least preferably, and, make the bight passivation.
Pedestal according to the 4th embodiment, electrostatic chuck 25 has heat-conducting gas and imports groove 45, it is formed at the upper surface of outer peripheral portion 25b, and the focusing ring position pipeline 46b of gas feedthroughs 46 is connected to the outer ring and imports groove 45b, like this helium is filled into heat-conducting gas and imports groove 45; Subsequently, no longer need cooling unit between electrostatic chuck 25 and the focusing ring 30, and can cancel the necessity that in focusing ring 30, forms heat-conducting gas importing groove, and helium can be by diffusion between electrostatic chuck 25 and focusing ring 30 reliably.Therefore heat conductivility between electrostatic chuck 25 and the focusing ring 30 is effectively improved, and can improve the cooling effectiveness of focusing ring 30 thus greatly, reduces the initial cost of plasma processing apparatus simultaneously.
And, heat-conducting gas imports the upper surface of groove 45 at outer peripheral portion 25b, form an inner ring that has with core 25a concentric annular and imported groove 45a, with one have with the concentric annular of core 25a and around the outer ring that inner ring imports groove 45a and import groove 45b, and inner ring imported groove 45a and the outer ring imports the radially importing groove that groove 45b couples together; As a result, helium can be spread equably at the upper surface of outer peripheral portion 25b, and this upper surface is the contact surface between outer peripheral portion 25b and the focusing ring 30, therefore, can cool off focusing ring 30 equably.
According to the pedestal of the above the 4th embodiment, heat-conducting gas imports the upper surface of groove 45 at outer peripheral portion 25b, has two annular sections; Yet the structure that heat-conducting gas imports groove 45 is not limited thereto, and can suitable variation be arranged along with the size of focusing ring 30, for example, has single annular section, or, three or more annular sections.
In addition, pedestal according to above-described the 3rd embodiment and the 4th embodiment, only in focusing ring 30 and the electrostatic chuck 25 has heat-conducting gas importing groove, but also but each all has heat-conducting gas importing groove for focusing ring 30 and electrostatic chuck 25, therefore can further improve the cooling effectiveness of focusing ring 30.
In the dry etch process process, except the atomic group of handling the gas generation, the deposition and atomic group that be attached to target is tending towards attached to the low temperature object.For traditional plasma processing apparatus, in the dry etch process process, owing to be built in the cooling of the cooling body of bottom electrode etc., the temperature of wafer W only rises to about 80 ℃.On the other hand, because colliding atom group, the temperature of focusing ring rises to about 200 ℃ to 400 ℃.Therefore, deposition and atomic group is tending towards attached on the wafer W, and especially, a part that is attached to wafer W easily is from the back side that electrostatic chuck protrudes out, i.e. the chamfered portion of wafer.
After having carried out dry etch process on the wafer W, when taking out wafer W from plasma processing apparatus, the deposition and atomic group that is attached to the wafer bevel part can separate with wafer W.The deposition and atomic group that separates will be still in plasma processing apparatus, in the implementation of next and follow-up plasma treatment, and the N that will be flowed on every side 2Gas is thrown and is loose.Thrown the deposition and atomic group that looses and to be attached to the surface of wafer W, so caused particle pollution.
In order to stop the generation of such particle pollution, preferably make deposition and atomic group not be attached to the wafer bevel part.As stoping deposition and atomic group not to be attached to a kind of method of wafer bevel part, it is contemplated that, for example, make O 2(oxygen) or helium flow between focusing ring and electrostatic chuck, produce a gas flow like this near the wafer bevel part.Yet gas flow also will be cleared away the etching atomic group, and therefore, in the marginal portion of wafer W, it evenly will be impossible keeping etching.
On the other hand, according to the pedestal of above-described the 3rd embodiment and the 4th embodiment, by cooling agent, step on liquid as height, be filled into heat-conducting gas and import groove 44 or 45, cool off focusing ring, like this, deposition and atomic group is forced to be attached to focusing ring 30.As a result, can stop deposition and atomic group to be attached to the wafer bevel part, thereby stop the generation of particle pollution.To in order to cool off focusing ring 30, being filled into the type that heat-conducting gas imports the cooling agent of groove 44 or 45 does not have specific limited, but height step on liquid and obtain easily, if therefore use height to step on liquid, then can reduce the operation cost of plasma processing apparatus really.
When cooling during focusing ring 30, preferably the temperature of focusing ring 30 is reduced to and is lower than 25 at least 20 ℃ of electrostatic chucks.Even in the dry etch process process, the temperature of electrostatic chuck 25 is maintained about 20 ℃ by the coolant cavity 31 in the bottom electrode 11.The temperature of focusing ring 30 is reduced to when being lower than 25 20 ℃ of electrostatic chucks at least, and the temperature of focusing ring 30 will be lowered to and be no more than 0 ℃.As a result, deposition and atomic group easily is attached to focusing ring 30.
Group has been attached under the situation of focusing ring 30 in a large number at deposition and atomic, it will be necessary changing focusing ring 30, but the frequent replacing of focusing ring 30 will cause the increase of the maintenance cost of plasma processing apparatus, therefore be necessary to make deposition and atomic group not to be attached to focusing ring 30 in a large number.So, preferably remove the deposition and atomic group that has been attached to focusing ring 30.
According to the pedestal of above-described the 3rd embodiment and the 4th embodiment,, heat focusing ring 30 by importing groove 44 or 45 filled high-temperature media to heat-conducting gas.Deposition and atomic group distils by high temperature, therefore by heating focusing ring 30, removable deposition and atomic group of adhering to.As a result, the replacement cycle of focusing ring 30 can obtain prolonging, and like this, can reduce the maintenance cost of plasma processing apparatus.
The method of heating focusing ring is not limited to the filled high-temperature heat-conducting medium.For example, focusing ring can be heated (second heating means) by a heater that covers the outer surface of focusing ring.
Figure 10 is the heater of a heating of signal focusing ring and the sectional view that is heated the structure of focusing ring.
At Figure 10, the outer radius of the focusing ring of relatively early describing 30 that the outer radius of focusing ring 48 is set is little, to such an extent as to and the upper surface of focusing ring 48 become the outer circumferential side of rank shape upper surface to be lowered.Heater 47 is the annular construction members with L shaped cross section; The interior perimeter surface of heater 47 covers the outer surface of focusing ring 48, and L shaped projection loosely is fit to the rank shape part of the upper surface of focusing ring 48.Heater 47 is by silicon (Si), diamond dust (SiC), silicon dioxide (SiO 2) wait composition, temperature to be subject to the collision of atomic group and raise.
Plasma generates in plasma processing apparatus, causes that thus atomic group and heater 47 collide, thus the temperature of rising heater 47.Heated heater 47 transmits its heat to focusing ring 48, thus heating focusing ring 48.
By utilizing heater 47, desirable disorientation heat-conducting gas imports necessity of groove 44 or 45 filled high-temperature media, and therefore, heat-conducting gas imports groove 44 or 45, and the structure of gas feedthroughs 46 and heat-conducting gas feeding unit can be simplified.
Removing not only of deposition and atomic group can be passed through the heating focusing ring, and can realize by another kind of method.
For example, can remove deposition and atomic group by making Purge gas flow into plasma processing apparatus.Especially, plasma is from by O 2, NF 3, SF 6, CF 4Deng the Purge gas that constitutes and producing, cause that therefore atomic group that is produced and the deposition and atomic group that is attached to focusing ring collide, thereby disperse deposition and atomic group that deposition and atomic group can remove from focusing ring easily thus.
And, for example can be by in the dry etch process process, the plasma that makes focusing ring be exposed to generation removes deposition and atomic group.Especially, handling the deposition and atomic group that atomic group that gas produced was caused and was attached to focusing ring collides.As a result, can in continuous dry etch process process, remove the deposit that is attached to focusing ring 30.Therefore, under the situation of the dry etch process efficient that does not reduce wafer W, removable deposit.
The method of cooling and heating focusing ring is not limited to utilize the method for heat-conducting medium mentioned above.For example, as shown in figure 11, the contact surface between focusing ring 30 and electrostatic chuck 25 is provided with a Peltier (peltier effect) device 49, replaces heat-conducting gas to import groove 44 or 45.Under the situation of using Peltier device 49, utilize the DC electric current to carry out cooling or heating easily, therefore do not need heat-conducting medium.Like this, the structure of heat exchange mechanism can be simplified, thereby can reduce the initial cost of plasma processing apparatus.On focusing ring 30 or electrostatic chuck 25, Peltier device 49 can be set.
In addition, the method for heating focusing ring also can be used and utilize the irradiation of lamp to heat except method mentioned above, or utilizes the heat of resistor to generate.
The combination of the method for heating and cooling focusing ring mentioned above is not restricted; The appropriate combination of these methods can be used.
According to the pedestal of first to the 4th embodiment, electrostatic chuck 25 is dish types, and focusing ring 30 is annular, but the shape of electrostatic chuck 25 and focusing ring 30 is not limited to these shapes; For example, be under the situation of LCDs etc. at handled object, according to the shape of LCDs, it is tabular that electrostatic chuck 25 can have square, and focusing ring 30 can have the square-shaped frame shape.
And then, with the pedestal of describing in detail according to a fifth embodiment of the invention.
Figure 12 is the sectional view of the structure of a signal plasma processing apparatus, wherein can use a pedestal according to a fifth embodiment of the invention.
In Figure 12, the vacuum chamber 101 that forms a container handling is for example by aluminium or similarly form, and the formation cylindrical shape.
Vacuum chamber 101 has been provided with a pedestal 102, is used to install wafer W, and this pedestal 102 is also as a bottom electrode.And, at the top of vacuum chamber 101, be provided with one also as the injector head 103 of top electrode; Pedestal 102 and injector head 103 common formation pair of parallel plate electrodes.
A gaseous diffusion chamber 104 is set on injector head 103, and, a large amount of apertures 105 are set in injector head 103, they be positioned at gaseous diffusion chamber 104 below.In addition, injector head 103 is fabricated like this, promptly so that the predetermined process gas (etching gas) of handling gas supply system 106 and providing is spread by gaseous diffusion chamber 104, and the predetermined process gas that a large amount of apertures 105 are provided has the spray pattern to wafer W.In the present embodiment, it is an earthed voltage that injector head 103 is caught, but adopts such structure, and wherein high frequency electric source is connected to injector head 103, and high frequency voltage puts on pedestal 102 and injector head 103.
High frequency electric source 108 is connected to pedestal 102 by matching unit 107, and the high frequency electric source of predetermined high frequency (for example, frequency from about hundreds of kHz to about 100 MHz) puts on pedestal 102.
In addition, on the wafer W installation surface of pedestal 102, an electrostatic chuck 109 that is used for attracting and keeping wafer W is set.Electrostatic chuck 109 has such structure, an electrostatic chuck electrode 109b wherein is set in insulating barrier 109a, and DC power supply 110 is connected to electrostatic chuck electrode 109b.In addition, in order to surround wafer W, a focusing ring 111 is set at the upper surface of pedestal 102.
Focusing ring 111 is constructed to, for example total annular, but illustrated in detail that as shown in figure 12 and in Figure 13 it is made up of a lower member 111a and a upper member 111b who is installed on the lower member 111a who is installed on the pedestal 102.
For example, each is made up of lower member 111a and upper member 111b silicon or analog, and is configured to an annular shape.In addition, between lower member 111a and upper member 111b, form a slit 111c, and shown in the arrow among Figure 13, slit 111c is as handling the gas flow passage.
A large amount of aciculiform patchholes 113 (in the present embodiment, always having three, along the interval of 120 ° of circumferencial directions) are set, and pin 114 is inserted in each aciculiform patchhole 113 in lower member 111a.And corresponding pin 114 is provided with fixing hole 115 in upper member 111b, and upper member 111b is supported on the pin 114, and in this state, the tip portion of each pin 114 is inserted in the corresponding fixing hole 115.Like this, upper member 111b is installed on the pin 114 basically, so this structure is the mode by mentioning, and upper member 111b can separate with lower member 111a, is possible so only substitute upper member 111b.
And pin 114 is separable with lower member 111a, by pin 114 being replaced by the pin of different length, can change the width (width G shown in Figure 13) of the slit 111c between lower member 111a and the upper member 111b.
Reference number 116 expressions shown in Figure 13 are placed in focusing ring 111 pilot pin in a precalculated position on the pedestal 102; Two such pilot pins 116 altogether are set, and they are separated from one another on pedestal 102, differ 180 ° in a circumferential direction.And corresponding pilot pin 116 is provided with location hole 117 and 118 respectively in lower member 111a and upper member 111b.
In addition, in pedestal 102, be formed for the coolant flow passage (not signal) of circulate coolant, can be controlled at a predetermined temperature to the temperature of pedestal 102 thus.And, be provided with one and between the back side of pedestal 102 and wafer W, supply refrigerating gas, the gas organization of supply of helium (not signal) for example, and by this refrigerating gas, promote the heat exchange between pedestal 102 and the wafer W, thereby can be controlled at a predetermined temperature to the temperature of wafer W.
As shown in figure 12, an exhaust outlet 120 is set in the bottom of vacuum chamber 101, and the gas extraction system of being made up of vacuum pump etc. 121 is connected to exhaust outlet 120.
In addition, the exhaust ring 122 of an annular is set around pedestal 102, extends expansion and substantially parallel with the wafer W installation surface.In exhaust ring 122, form the exhaust passage that comprises big metering-orifice, and find time, around pedestal 102, form and handle gas flow uniformly by utilizing gas extraction system 121 to carry out by these exhaust passages.And exhaust ring 122 is electrically connected with earthed voltage, therefore, stops formed plasma in the processing space between pedestal 102 and injector head 103 to be leaked to space below the exhaust ring 122.
In addition, a magnetic field is set around vacuum chamber 101 forms device 123, can in the processing space in the vacuum chamber 101, form the magnetic field of an expectation like this.A whirligig 124 is provided with for magnetic field forms device 123, forms device 123 by the magnetic field around the rotation vacuum chamber 101 like this, the magnetic field in the rotatable vacuum chamber 101.
And then, utilize above-mentioned plasma-etching apparatus of constructing to carry out plasma etch process description.
At first, open the gate valve (not diagram) of vacuum chamber 101, it is arranged at and moves into/outbound port (not signal) in, utilize a transmitting device or similar device then, wafer W is transported to vacuum chamber 101, and is installed on the pedestal 102.Then, the wafer W that is installed on the pedestal 102 is held, and is held by the electrostatic chuck electrode 109b that the predetermined dc voltage from DC power supply 110 is applied to electrostatic chuck 109.
And then, from vacuum chamber 101, withdraw from transmitting device, the closed shutter valve, and utilize vacuum pump of gas extraction system 121 etc., the inside of the vacuum chamber 101 of finding time.In case the inside of vacuum chamber 101 has reached predetermined vacuum level, the predetermined process gas that is used for etch processes is just from handling gas supply system 106 via gaseous diffusion chamber 104 and aperture 105, be incorporated in the vacuum chamber 101, and the inner sustain of vacuum chamber 101 is in predetermined pressure, and for example approximately 1Pa (handkerchief) arrives 100Pa.
In this state, supply with pedestal 102 from the high frequency electric source of the preset frequency of high frequency electric source 108.By imposing on the high frequency electric source of pedestal 102, form a high-frequency electric field in the processing space between injector head 103 and pedestal 102.In addition, the magnetic field of handling in the space forms device 123 formation one predetermined magnetic field.As a result, produce predetermined plasma from being supplied to the processing gas of handling the space, the predetermined film on the wafer W is by this plasma etching like this.
At this moment, processing gas from surface aperture 105, that be supplied to wafer W of injector head 103, flow to its outer peripheral portion from the core on the surface of wafer W, by the lower member 111a of focusing ring 111 and the slit 111c between the upper member 111b, periphery transmission from wafer W, flow downward then, thereby be deflated.Around wafer W, evenly form and handle gas flow.
Here, if focusing ring does not have slit 111c, then be supplied to the processing gas on the surface of wafer W on focusing ring, to transmit, and flow out in the environment.Therefore, handle the outer peripheral portion that gas flow will be stuck in wafer W, thereby the etch-rate of entire wafer W may descend, and the etch-rate of the outer peripheral portion of wafer W is different with the etch-rate of the core of wafer W.On the contrary, in the present embodiment, compare with the situation that does not have slit 111c, can make the processing gas flow of outer peripheral portion of wafer W steady, thereby can solve problem mentioned above, that is to say that the etch-rate of entire wafer W is improved, and the uniformity of the lip-deep etch-rate of wafer W is improved.
In addition, on focusing ring, transmit handling gas, and flow out under the above-mentioned situation in the environment (just, under the situation that does not have slit 111c), along with the consumption of focusing ring, the height of the upper surface of focusing ring will descend, therefore, corresponding therewith, handling gas flow will change gradually.Therefore the residence time of handling gas will change, thereby the state of the etch processes on the wafer W will change; When the consumption of focusing ring still hangs down relatively, replace focusing ring and will become necessary.
In contrast, in the present embodiment, handling gas flow in the environment via slit 111c, therefore, because the consumption of focusing ring in the state of the processing of wafer W, variation effect in the height of the upper surface of focusing ring can be suppressed, thereby can prolong preventive maintenance time, promptly up to the time of changing focusing ring.
In addition, when such consumption has taken place, by only replacing with member 111b, and need not change whole focusing ring 111, can reduce the expense of removable parts, thereby reduce operating cost.
As indicated above, after having carried out predetermined plasma etch process, stop supply, thereby stop etching processing from the high frequency electric source of high frequency electric source 108, utilize then with and describe opposite process morning, take out of wafer W from vacuum chamber 101.
Curve representation among Figure 14 and 15 is along with the variation of the gap width G of the slit 111c in the focusing ring 111, the variation of the etching state of each part of wafer W; In Figure 14 and 15, the longitudinal axis is represented etch-rate (nm/min), and transverse axis is represented the distance (mm) apart from center wafer.
Etched film is a SiN film, and employed etching gas is CHF 3/ CF 4/ Ar/O 2, flow rate is respectively 30,75,600 and 15sccm, and at the pressure of 23.3Pa (175mTorr), and carry out etching under the condition of the high frequency electric source of 1000W (frequency 13.56MHz).In addition, Figure 14 represents that the thickness of the upper member 111b of focusing ring 111 is the situation of 1.5mm, and Figure 15 represents that the thickness of the upper member 111b of focusing ring 111 is the situation of 2.8mm.Under these two kinds of situations, the thickness of the lower member 111a of focusing ring 111 is 1.5mm.
Shown in these charts, be that zero situation is compared with the gap width G of slit 111c, when gap width G widened into 0.5mm, 1.5mm and 2.5mm, the etch-rate of entire wafer W increased.
In addition, the particularly clear signal of the chart among Figure 14, when the gap width G of slit 111c is zero, it is lower than the etch-rate of the core of wafer W that the etch-rate of the outer peripheral portion of wafer W becomes, but when the gap width G of the slit 111c that broadens, the increase of the etch-rate of the outer peripheral portion of wafer W surpasses the etch-rate of the core of wafer W, and therefore, the uniformity of the lip-deep etch-rate of wafer W can be enhanced.
Here, as indicated above, Figure 15 represents that the thickness of upper member 111b is the situation of 2.8mm, and Figure 14 represents that the thickness of upper member 111b is the situation of 1.5mm; Therefore, the result who illustrates among Figure 14 represents in fact, the state of illustrating from Figure 15, the result of the state that the upper member 111b of 1.3mm has been consumed.For example, it from gap width G the variation of the etching state between Figure 15 and Figure 14 under the situation of 2.5mm for slit 111c, and gap width G clearly sees during under zero the situation this changes, with gap width G is comparing of zero situation, at gap width G is under the situation of 2.5mm, and the consumption effect of the focusing ring under the lip-deep etch-rate of wafer W is even is lowered.With gap width G is that zero situation is compared, and is frequency of maintenance under the situation of 2.5mm at gap width G, and the frequency of promptly changing focusing ring is lowered.
If make that gap width G is narrower than 0.5mm, the then decline of leading owing to electricity, and weaken the operation that the slit 111c of gas flow channel is handled in conduct, and like this, the significant difference disappearance aspect operating effect mentioned above.Therefore, preferably making gap width G is 0.5mm at least.
As indicated above, according to present embodiment, by using focusing ring 111, the etch-rate of entire wafer W is increased, thereby output obtains increasing, so productivity is enhanced.
In addition, in etching treatment procedure, if wherein gap width G is zero, then the etch-rate of the outer peripheral portion of wafer W becomes lower than the etch-rate of the core of wafer W, by the focusing ring 111 of utilization according to present embodiment, and suitably regulate gap width G, the increase of the etch-rate of the outer peripheral portion of wafer W surpasses the etch-rate of the core of wafer W, the uniformity of the lip-deep etch-rate of wafer W can be enhanced, and therefore can carry out the etch processes of pinpoint accuracy.
In addition,, can reduce, can improve the uptime ratio of device, and can increase productivity as changing focusing ring 111 frequency of maintenance according to present embodiment; And when the consumption of focusing ring 111 had taken place, by only replacing with member 111b, promptly the part of focusing ring 111 only can reduce operating cost.
In embodiment mentioned above, the present invention is applied to the etching of semiconductor wafer; Yet the present invention is not limited to this situation, also can be applied to similarly carry out etched situation on other substrate, on the glass substrate as liquid crystal indicator.
In plasma processing apparatus mentioned above, according in the maintenance period with the thickness of the focusing ring that consumes, can set the value of focusing ring cooling pressure and focusing ring chuck voltage in advance.In addition, utilize detection method, can detect the consumption degree of focusing ring, and detected value be fed back to the set point of focusing ring cooling pressure and focusing ring chuck voltage as optical pickocff, thereby be to carry out to handle the consumption degree of reflect focalization ring in handling prescription next time.
In addition, the present invention not only can be applicable to Etaching device, and can be applicable to other plasma processing apparatus, as CVD device and cineration device.

Claims (11)

1. a plasma processing apparatus is characterized in that, comprising:
Accommodate the container handling of processed substrate;
Be arranged in the described container handling and the mounting table of the described processed substrate of mounting;
In described container handling, supply with the processing gas supply mechanism of predetermined process gas;
Make the plasma generating mechanism of described processing gaseous plasmaization; With
With surround described processed substrate around the focusing ring that disposes of mode, the upper side member that it comprises the lower side member that forms ring-type and forms ring-type and be configured in the top of described lower side member, described lower side member covers the periphery of described mounting table, make the periphery of described mounting table not have exposed portions serve, on described lower side member, support described upper side member across the gap, the width that described gap forms the described gap of gas flow path is more than the 0.5mm, below the 2.5mm.
2. plasma processing apparatus as claimed in claim 1 is characterized in that, the described relatively lower side member of described upper side member can freely load and unload.
3. plasma processing apparatus as claimed in claim 1 or 2 is characterized in that the width in described gap can change.
4. focusing ring, its with surround processed substrate around mode be configured in the container handling of plasma processing apparatus, it is characterized in that, comprising:
Form the lower side member of ring-type and form ring-type and be configured in the upper side member of the top of described lower side member,
Described lower side member covers the periphery of described mounting table, make the periphery of described mounting table not have exposed portions serve, on described lower side member, support described upper side member across the gap, described gap forms gas flow path, and the width in described gap is more than the 0.5mm, below the 2.5mm.
5. focusing ring, its with surround processed substrate around mode be configured in the container handling of plasma processing apparatus, it is characterized in that, comprising:
Form the lower side member of ring-type;
Form ring-type and be configured in the upper side member of the top of described lower side member;
Be arranged at the hole that is used to insert pin of described lower side member and described upper side member; With the pin that inserts described hole,
Utilization above described lower side member, is supported described upper side member across the gap at the outstanding described pin that is provided with of the upper surface of described lower side member, and described gap forms gas flow path, and the width in described gap is more than the 0.5mm, below the 2.5mm.
6. as claim 4 or 5 described focusing rings, it is characterized in that the described relatively lower side member of described upper side member can freely load and unload.
7. as claim 4 or 5 described focusing rings, it is characterized in that the width in described gap can change.
8. method of plasma processing, its use in the container handling of plasma processing apparatus with surround processed substrate around the focusing ring that disposes of mode, carry out the plasma etching of described processed substrate, it is characterized in that,
Described focusing ring comprises the lower side member that forms ring-type and forms ring-type and be configured in the upper side member of the top of described lower side member, described lower side member covers the periphery of described mounting table, make the periphery of described mounting table not have exposed portions serve, on described lower side member, support described upper side member across the gap, described gap forms gas flow path
Under the situation in the gap that does not have described focusing ring, use described focusing ring in the rate of etch of the periphery of described processed substrate in than the low plasma etching of the rate of etch of central portion,
The width in described gap is more than the 0.5mm, below the 2.5mm.
9. method of plasma processing, its use in the container handling of plasma processing apparatus with surround processed substrate around the focusing ring that disposes of mode, carry out the plasma etching of described processed substrate, it is characterized in that,
Described focusing ring comprises: form ring-type lower side member, form ring-type and be configured in the top of described lower side member upper side member, be arranged at the pin that inserts the hole of pin and insert described hole of being used to of described lower side member and described upper side member,
Utilization above described lower side member, is supported described upper side member across the gap at the outstanding described pin that is provided with of the upper surface of described lower side member, and described gap forms gas flow path,
Under the situation in the gap that does not have described focusing ring, use described focusing ring in the rate of etch of the periphery of described processed substrate in than the low plasma etching of the rate of etch of central portion,
The width in described gap is more than the 0.5mm, below the 2.5mm.
10. method of plasma processing as claimed in claim 8 or 9 is characterized in that the described focusing ring that uses the described relatively lower side member of described upper side member freely to load and unload.
11. method of plasma processing is characterized in that as claimed in claim 8 or 9, the described focusing ring that uses the width in described gap to change.
CN2008100013896A 2003-04-24 2004-04-23 Plasma processing apparatus, focus ring, and susceptor Expired - Lifetime CN101303998B (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2003120419 2003-04-24
JP2003-120419 2003-04-24
JP2003-271975 2003-07-08
JP2003271975A JP4439853B2 (en) 2003-07-08 2003-07-08 Plasma processing apparatus, focus ring, and plasma processing method
JP2003204898 2003-07-31
JP2003-204898 2003-07-31
JP2004115807A JP4547182B2 (en) 2003-04-24 2004-04-09 Plasma processing equipment
JP2004-115807 2004-04-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100341657A Division CN100375261C (en) 2003-04-24 2004-04-23 Plasma treatment appts. focusing ring and base

Publications (2)

Publication Number Publication Date
CN101303998A CN101303998A (en) 2008-11-12
CN101303998B true CN101303998B (en) 2011-02-02

Family

ID=40113826

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008100013896A Expired - Lifetime CN101303998B (en) 2003-04-24 2004-04-23 Plasma processing apparatus, focus ring, and susceptor
CN2008100013881A Expired - Lifetime CN101303997B (en) 2003-04-24 2004-04-23 Plasma processing apparatus, focus ring, and susceptor

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008100013881A Expired - Lifetime CN101303997B (en) 2003-04-24 2004-04-23 Plasma processing apparatus, focus ring, and susceptor

Country Status (1)

Country Link
CN (2) CN101303998B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5657262B2 (en) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 Plasma processing equipment
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
JP5976377B2 (en) * 2012-04-25 2016-08-23 東京エレクトロン株式会社 Method for controlling adhesion of fine particles to substrate to be processed and processing apparatus
CN104752143B (en) * 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 Plasma treating device
CN103811247B (en) * 2014-02-17 2016-04-13 清华大学 For plasma etching focusing ring and there is its plasma etching apparatus
CN104911565B (en) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 A kind of chemical vapor deposition unit
US10755902B2 (en) 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
JP7186646B2 (en) * 2019-03-22 2022-12-09 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND METHOD FOR DETECTING PRESENCE OF FOCUS RING ON PLACEMENT
CN113053715B (en) * 2019-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 Lower electrode assembly, plasma processing device and working method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
JPH10303288A (en) * 1997-04-26 1998-11-13 Anelva Corp Board holder for plasma treatment

Also Published As

Publication number Publication date
CN101303998A (en) 2008-11-12
CN101303997A (en) 2008-11-12
CN101303997B (en) 2010-06-02

Similar Documents

Publication Publication Date Title
CN100375261C (en) Plasma treatment appts. focusing ring and base
US20210313213A1 (en) Substrate support with multiple embedded electrodes
US8633423B2 (en) Methods and apparatus for controlling substrate temperature in a process chamber
US7651586B2 (en) Particle removal apparatus and method and plasma processing apparatus
US5748434A (en) Shield for an electrostatic chuck
CN100521103C (en) Plasma processing apparatus and method
US10103011B2 (en) Plasma processing method and plasma processing apparatus
US11152196B2 (en) Substrate processing apparatus
CN101303998B (en) Plasma processing apparatus, focus ring, and susceptor
JP2019522889A (en) High power electrostatic chuck design with high frequency coupling
US20030155078A1 (en) Plasma processing apparatus, and electrode plate, electrode supporting body, and shield ring thereof
CN102856243A (en) Electrostatic chucks, substrate treating apparatuses including the same, and substrate treating methods
KR20190085825A (en) Plasma processing apparatus
US20210391151A1 (en) Edge ring and plasma processing apparatus
KR20110057510A (en) Apparatus for dry etching
KR20210022879A (en) Unit for supporting substrate and system for treating substrate with the unit
US8854790B1 (en) Electrostatic chuck assembly
KR101949406B1 (en) Apparatus for processing substrate
JP4367685B2 (en) Electrostatic chuck device
US11929278B2 (en) Low impedance current path for edge non-uniformity tuning
KR102197611B1 (en) System for treating substrate
US20230071494A1 (en) Conductive Member for Cleaning Focus Ring of a Plasma Processing Apparatus
KR20230036998A (en) Conductive member for cleaning focus ring of a plasma processing apparatus
TW201306168A (en) Electrostatic chucks, substrate treating apparatuses including the same, and substrate treating methods
KR20220070850A (en) Substrate treating appartus and substrate treating method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant