CN101093796A - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
CN101093796A
CN101093796A CN 200710140293 CN200710140293A CN101093796A CN 101093796 A CN101093796 A CN 101093796A CN 200710140293 CN200710140293 CN 200710140293 CN 200710140293 A CN200710140293 A CN 200710140293A CN 101093796 A CN101093796 A CN 101093796A
Authority
CN
China
Prior art keywords
etching
layer
plasma
plasma processing
container handling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200710140293
Other languages
Chinese (zh)
Other versions
CN100541720C (en
Inventor
山口智代
布瀬晓志
藤本究
本田昌伸
永关一也
高明辉
榎本隆
伊藤弘治
北村彰规
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101093796A publication Critical patent/CN101093796A/en
Application granted granted Critical
Publication of CN100541720C publication Critical patent/CN100541720C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

The invention provides a plasma processing method, characterized in that the method comprises the steps of feeding the subject to be processed in the processing container, wherein the subject has an etching layer and an organic mask layer, and the organic mask layer covers the etching layer to form a pattern with opening, the processing container includes the members formed from Si; inducing at least one processed gas selected from the group of H2, N2, and He into the processing container; plasma-processing the processed gas, and plasma-processing the organic mask layer.

Description

Method of plasma processing
The application is to be June 24, application number in 2003 the dividing an application for the patent application of " method of plasma processing " that be 03815028.X (PCT/JP2003/007960), denomination of invention the applying date.
Technical field
The present invention relates to a kind of method of plasma processing of in semiconductor device manufacturing process, carrying out.
Background technology
When at the plasma etching etching object layer, make and wait Etching mask with photoresist.Especially recently, in response to the request of trickle processing, preferably uses the ArF photoresist that is applicable to the about patterns of openings below 0.13 micron of formation or F2 photoresist, promptly by with ArF gas or F2 gas photoresist as the laser explosure of light emitting source.
But ArF photoresist layer or F2 photoresist layer are because plasma-resistance is low, so there is the shaggy problem of photoresist layer in the etching way.Because the rough surface of photoresist layer, when etching was carried out, the change of shape of peristome can not form the etch-hole or the etched trench of design shape.In addition, in the etching way, the meeting etching does not constitute the position of photoresist layer, does not think originally that etched position also can be etched.
As the method that the plasma-resistance that makes photoresist layer improves, the method (spy opens flat 4-23425 communique) of the method for oriented photoresist layer surface irradiation ultraviolet ray, electronics line or ion beam (spy open flat 60-110124 communique, spy are opened flat 2-252233 communique, the spy opens clear 57-157523 communique), the photoresist that is heating and curing or after organic Si compound provides heat or luminous energy, in the method (spy opens flat 2-40914 communique) of the thin cured layer of photoresist layer surface coated.
In the method that the above-mentioned plasma-resistance that makes photoresist layer improves, must in the container different, carry out the raising of plasma-resistance and handle with the container that uses in thereafter the etching work procedure.Handled object improved from the plasma-resistance of carrying out photoresist layer be transported to the productivity ratio that qualification rate descends or handling time causes that the etching container can cause carrying in the operation the container of handling and descend.And the container that the raising processing of carrying out plasma-resistance is set outside the etching container not only needs unnecessary space, also can cause cost to rise.
In addition, the container of the raising processing of carrying out plasma-resistance also can be set outside the etching container, and to additional ultraviolet irradiation unit of etching container or heating unit, but constant be still to need ultraviolet irradiation unit or heating unit, still can cause cost to rise.
On the other hand, if directly cover etch target portion by photoresist layer, then form in the operation of patterns of openings behind thereafter exposure, development photoresist layer, the design size precision of patterns of openings can reduce.Therefore, insertion prevents the reflector between etch target portion and photoresist mask layer.Propose with the gas, for example C that comprise material with C and F 4F 8With O 2Mist, HBr and CF 4Mist, CH with He 2F 2With CF 4This prevents reflector (spy opens flat 10-26162 communique) to come etching with the plasma of the mist of He.Prevent the etching gas in reflector as etching, for example also know CF 4With O 2Mist (spy opens flat 7-307328 communique).
But, using C 4F 8With O 2Mist or CF 4With O 2The plasma of mist come etching to prevent under the situation in reflector, the rough surface of ArF photoresist layer forms vertical muscle in the ArF photoresist layer, will can not realize the function as mask as the ArF photoresist layer etching a great deal of of mask layer.
Summary of the invention
The object of the present invention is to provide a kind of method of plasma processing, can not cause qualification rate to descend or productivity ratio descends, can not cause cost to rise, can improve the elching resistant of organic layer such as ArF photoresist layer.
In addition, provide a kind of method of plasma processing, when so improving the elching resistant of organic layer, can carry out plasma etching.
And, a kind of method of plasma processing is provided, when preventing the etching object layer of reflector or its bottom in etching, can keep the plasma-resistance of mask layers such as ArF photoresist layer or F2 photoresist layer high.
And, a kind of method of plasma processing is provided, in the shaggy while of suppressing mask layers such as ArF photoresist layer or F2 photoresist layer, keep good etching selectivity, and can big etch-rate come the etching bottom prevent reflector or etching object layer.
According to a first aspect of the invention, provide a kind of method of plasma processing, have following operation: preparation surface has the handled object of organic layer; With to described handled object irradiation H 2Plasma, the plasma-resistance of described organic layer is improved.
According to a second aspect of the invention, provide a kind of method of plasma processing, have following operation: preparation surface has the handled object of organic layer; Comprise H with shining to described handled object 2With the plasma of the processing gas of inert gas, the plasma-resistance of described organic layer is improved.
According to a third aspect of the invention we, provide a kind of method of plasma processing, have following operation: preparation surface has the handled object of organic layer; With comprise material with H plasma with the processing gas of inert gas to the irradiation of described handled object, make the plasma-resistance raising of described organic layer.
According to the 4th aspect of the present invention, a kind of method of plasma processing is provided, have following operation: preparation surface has the handled object of the photoresist layer that is made of ArF photoresist or F2 photoresist; Plasma with comprise from the processing gas of the material with H to described handled object irradiation improves the plasma-resistance of described photoresist layer.
According to the 5th aspect of the present invention, a kind of method of plasma processing is provided, have following operation: handled object is configured in the container handling, and this handled object has etch target portion and covers the organic layer of this etch target portion, formation patterns of openings; Plasmaization comprises the processing gas of the material with H in described container handling, shines this plasma to described organic layer; With plasma etching gas in described container handling, by described patterns of openings, the described etch target of etching portion.
According to the 6th aspect of the present invention, a kind of method of plasma processing is provided, have following operation: preparation surface has the handled object of the photoresist layer that is made of ArF photoresist or F2 photoresist; Plasma with comprise from the processing gas of the material with N to described handled object irradiation improves the plasma-resistance of described photoresist.
According to the 7th aspect of the present invention, a kind of method of plasma processing is provided, have following operation: handled object is configured in the container handling, and this handled object has etch target portion, cover preventing the reflector and covering the photoresist layer that is made of ArF photoresist or F2 photoresist that this prevents the reflector, is formed with patterns of openings of this etch target portion; In described container handling, import and handle gas; The described processing gas of plasmaization; With make this plasma act on described handled object, when the plasma-resistance of described photoresist layer is improved, by described patterns of openings, the described reflector that prevents of etching.
According to the 8th aspect of the present invention, a kind of method of plasma processing is provided, have following operation: handled object is configured in the container handling, and this handled object has etching object layer, covers preventing the reflector and covering the mask layer that this prevents the reflector, is formed with patterns of openings of this etching object layer; In described container handling, import and comprise H 2Processing gas; The described processing gas of plasmaization; With patterns of openings, by the described reflector that prevents of the selectively described relatively mask layer etching of described plasma by described mask layer.
According to the 9th aspect of the present invention, a kind of method of plasma processing is provided, has following operation: handled object is loaded on the loading stage, this handled object has etching object layer and mask layer, this mask layer covers this etching object layer, be formed with patterns of openings, constitute by ArF photoresist or F2 photoresist; The initial etch operation, plasma CF 4With H 2, by the patterns of openings of described mask layer, the described etching object layer of etching is to midway; With main etching work procedure, after this initial etch operation, plasmaization comprises the etching gas of fluorocarbon, and the described etching object layer of etching.
According to the tenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is loaded on the loading stage, this handled object has etching object layer, cover this etching object layer prevent reflector and mask layer, this mask layer covers this and prevents the reflector, be formed with patterns of openings, constitute by acrylic resin; First etching work procedure, plasma CF 4, by the patterns of openings of described mask layer, the described reflector that prevents of etching; Second etching work procedure, plasma CF 4With H 2, by the patterns of openings of described mask layer, the described etching object layer of etching is to midway; With the 3rd etching work procedure, after this second etching work procedure, plasmaization comprises the etching gas of fluorocarbon, and the described etching object layer of etching.
According to an eleventh aspect of the invention, a kind of method of plasma processing is provided, have following operation: handled object is loaded on the pedestal that is configured in the container handling, this handled object has etch target portion and covers this etching object layer, is formed with the mask layer of opening; In described container handling, import and comprise H 2Processing gas; To described pedestal provide 100MHz with upper frequency high frequency power and 3MHz with the high frequency power of upper frequency; With the pressure in the described container handling is dropped to below the 13.3Pa (100mTorr).
According to a twelfth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion and photoresist layer, this photoresist layer covers this etch target portion, be formed with patterns of openings, constitute by ArF photoresist or F2 photoresist; Plasmaization comprises the processing gas of the material with N in described container handling, and shines described photoresist layer; With plasma etching gas in described container handling, by described patterns of openings, the described etch target of etching portion.
According to a thirteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover this etch target portion prevent reflector and photoresist layer, this photoresist layer covers this and prevents the reflector, form patterns of openings, constitute by ArF photoresist or F2 photoresist; First etching work procedure, plasmaization comprises the processing gas of the material with N in described container handling, and by described patterns of openings, the described reflector that prevents of etching; With second etching work procedure, plasma etching gas in described container handling, by described patterns of openings, the described etch target of etching portion.
According to a fourteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etching object layer and organic mask layer, this organic mask layer covers described etching object layer, form patterns of openings, this container handling is equipped with the component parts of the exposed division with the material that comprises Si; In described container handling, import from H 2, N 2At least a processing gas of selecting among the group who constitutes with He; With the described processing gas of plasmaization, the described organic mask layer of plasma treatment.
According to a fifteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etching object layer, covers the organic membrane and the organic mask layer of described etching object layer, this organic mask layer covers described organic membrane, be formed with patterns of openings, this container handling is equipped with the component parts of the exposed division with the material that comprises Si; In described container handling, import etching gas; The described etching gas of plasmaization, by the patterns of openings of described organic mask layer, the described organic membrane of etching; In described container handling, import from H 2, N 2At least a processing gas of selecting among the group who constitutes with He; With the described processing gas of plasmaization, the described organic mask layer of plasma treatment.
According to a sixteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etching object layer, covers the organic membrane and the organic mask layer of described etching object layer, this organic mask layer covers described organic membrane, be formed with patterns of openings, this container handling is equipped with the component parts of the exposed division with the material that comprises Si; In described container handling, import H 2H with the plasma importing 2, by the patterns of openings of described organic mask layer, the described organic membrane of etching.
According to a seventeenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etching object layer and photoresist layer, this photoresist layer covers this etching object layer, form patterns of openings, constitute by ArF photoresist or F2 photoresist; In the container handling that holds described handled object, import and comprise C 2F 4Processing gas; The described processing gas of plasmaization; With patterns of openings, come etching object layer in the described handled object of etching by the plasma of described processing gas by described photoresist layer.
According to an eighteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, and this handled object has etching object layer and mask layer, this mask layer covers this etching object layer, is formed with patterns of openings; In the container handling that holds described handled object, import and comprise C 2F 4With O 2Processing gas; The described processing gas of plasmaization; With patterns of openings, come etching object layer in the described handled object of etching by the plasma of described processing gas by described mask layer.
According to a nineteenth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover this etch target portion prevent reflector and photoresist layer, this photoresist layer covers this and prevents the reflector, be formed with patterns of openings, constitute by ArF photoresist or F2 photoresist; Plasmaization comprises material with C and F and the etching gas with material of H in described container handling, comes the described reflector that prevents of etching through described patterns of openings; With the described etch target of etching portion.
According to a twentieth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover this etch target portion prevent reflector and mask layer, this mask layer covers this and prevents the reflector, forms patterns of openings; Plasmaization comprises the material with C and F and the etching gas of hydrocarbon in described container handling, comes the described reflector that prevents of etching through described patterns of openings; With the described etch target of etching portion.
According to a twenty-first aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover this etch target portion prevent reflector and mask layer, this mask layer covers this and prevents the reflector, is formed with patterns of openings; The atomicity that plasma etching gas in described container handling, this etching gas comprise the material with C and F and have C, H and F and a H and the ratio of the atomicity of F are the material more than 3, come the described reflector that prevents of etching through described patterns of openings; With the described etch target of etching portion.
According to a twenty-second aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion and photoresist layer, this photoresist layer covers this etch target portion, form patterns of openings, constitute by ArF photoresist or F2 photoresist; Plasmaization comprises material with C and F and the processing gas of CO in described container handling, shines this plasma to described photoresist layer; Plasma etching gas in described container handling through described patterns of openings, comes the described etch target of etching portion by this plasma.
According to a twenty-third aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover this etch target portion prevent reflector and photoresist layer, this photoresist layer covers this and prevents the reflector, form patterns of openings, constitute by ArF photoresist or F2 photoresist; First etching work procedure, plasmaization comprises material with C and F and first etching gas of CO in described container handling, through described patterns of openings, comes the described reflector that prevents of etching by this plasma; With second etching work procedure, plasmaization second etching gas in described container handling through described patterns of openings, comes the described etch target of etching portion by this plasma.
According to a twenty-fourth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etch target portion, cover etch target portion prevent reflector and mask layer, this mask layer covers this and prevents the reflector, is formed with patterns of openings; First etching work procedure, plasmaization comprises CF in described container handling 4With first etching gas of CO,, come the described reflector that prevents of etching by this plasma through described patterns of openings; With second etching work procedure, plasmaization second etching gas in described container handling through described patterns of openings, comes the described etch target of etching portion by this plasma.
According to the twenty-fifth aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is configured in the container handling, this handled object has etching object layer, covers the organic reflector and photoresist layer of preventing of this etching object layer, this photoresist layer covers this organic reflector that prevents, be formed with patterns of openings, constitute by ArF photoresist or F2 photoresist; In this container handling, import etching gas with the material that comprises Si; With this etching gas of plasmaization, by the patterns of openings of described photoresist layer, the organic reflector that prevents of etching.
According to the twenty-sixth aspect, a kind of method of plasma processing is provided, have following operation: handled object is loaded on the pedestal that is arranged in container handling, this handled object has etch target portion and covers this etching object layer, is formed with the mask layer of opening; Having the described handled object and at least a portion on surface in described container handling is under the parts of Si, imports inert gas in described container handling; The high-frequency energy of at least a portion of the described inert gas of ionization is provided in described container handling; In described container handling, import etching gas; This etching gas of plasmaization; In described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described mask layer.
According to a twenty-seventh aspect of the invention, a kind of method of plasma processing is provided, has following operation: handled object is loaded on the pedestal that is arranged in container handling, this handled object has etching object layer and mask layer, this mask layer covers this etching object layer, is formed with patterns of openings; In described container handling, form Si on described mask layer surface and contain layer; In described container handling, import etching gas; The described etching gas of plasmaization; With in described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described mask layer.
According to a twenty-eighth aspect of the invention, a kind of method of plasma processing is provided, have following operation: prepare container handling, at least a portion that the surface is set in inside be parts, first electrode of Si and be positioned at this first electrode relative position on second electrode; Load handled object on described first electrode in described container handling, this handled object has etching object layer and mask layer, and this mask layer covers this etching object layer, is formed with patterns of openings; In described container handling, import inert gas; Apply high frequency power to described first electrode; Apply high frequency power to described second electrode; Import etching gas to described container handling; With in described container handling,, come the described etching object layer of etching by the etching gas that utilizes described high frequency power plasmaization by the patterns of openings of described mask layer.
According to the twenty-ninth aspect, a kind of method of plasma processing is provided, has following operation: handled object is loaded on the pedestal that is arranged in container handling, this handled object has etching object layer and photoresist layer, this photoresist layer covers this etching object layer, form patterns of openings, constitute by ArF photoresist or F2 photoresist; In described container handling, import the etching gas that comprises the Si compound; The described etching gas of plasmaization; With in described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described photoresist layer.
Description of drawings
Fig. 1 is the sectional view of an example that expression can be implemented the plasma processing apparatus of method of plasma processing of the present invention.
Fig. 2 is another routine sectional view that expression can be implemented the plasma processing apparatus of method of plasma processing of the present invention.
Fig. 3 is the sectional view of the handled object of the modal representation enforcement that is used for embodiment of the present invention 1.
Fig. 4 A, 4B are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 2 by the ground expression of process sequence pattern.
Fig. 5 A, 5B are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 3 by the ground expression of process sequence pattern.
Fig. 6 A, 6B, 6C are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 4 by the ground expression of process sequence pattern.
Fig. 7 A, 7B, 7C are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 5 by the ground expression of process sequence pattern.
Fig. 8 is the flow chart of the series of processes of expression embodiment of the present invention 5.
Fig. 9 A, 9B, 9C are the sectional views that is used for the handled object state that the variation of embodiment of the present invention 5 implements by the ground expression of process sequence pattern.
Figure 10 is the flow chart of the series of processes of expression embodiment of the present invention 5 variation.
Figure 11 A, 11B are the curves of effect of the plasma treatment among the embodiment of expression embodiment of the present invention 5.
Figure 12 is the sectional view of the handled object of the pattern ground expression enforcement that is used for embodiment of the present invention 6.
Figure 13 A, 13B are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 7 by the ground expression of process sequence pattern.
Figure 14 A, 14B are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 8 by the ground expression of process sequence pattern.
Figure 15 is the sectional view of the handled object of the pattern ground expression enforcement that is used for embodiment of the present invention 9.
Figure 16 A, 16B are the sectional views of handled object state that is used for the enforcement of embodiment of the present invention 10 by the ground expression of process sequence pattern.
Embodiment
Below, describe embodiments of the present invention in detail with reference to accompanying drawing.
Fig. 1 is the sectional view of an example that expression can be implemented the plasma processing apparatus of method of plasma processing of the present invention.
This plasma processing unit 1 has container handling 2.Container handling 2 is formed by the aluminium behind metal, for example oxidized surface, safety ground.Bottom in container handling 2 through insulator 3, is provided with the pedestal 5 as the lower electrode of parallel plate electrode.On this pedestal 5, connect high pass filter (HPF) 6, and, second high frequency electric source 50 connected through adaptation 51.Electrostatic chuck 11 is set on pedestal 5, loads trap apparatus such as semiconductor wafer thereon.
Electrostatic chuck 11 constitutes holding electrode 12 between insulator, by applying direct voltage from the DC power supply 13 that is connected on the electrode 12, the Electrostatic Absorption trap apparatus.In addition, surround the configuration of trap apparatus ground by aluminium oxide, Si or SiO 2Deng the focusing ring 15 that constitutes, etched uniformity is improved.
In addition, above pedestal 5, with pedestal 5 relatively, support to be provided with by Si, SiO by supporter 25 2Or the upper electrode plate 24 of the spray head that constitutes such as amorphous carbon.Constitute upper electrode 21 by upper electrode plate 24 and supporter 25 in the face of the parallel plate electrode of pedestal 5.On upper electrode 21, connect low pass filter 42, and connect first high frequency electric source 40 through adaptation 41.
Central authorities on upper electrode 21 are provided with gas introduction port 26, on this gas introduction port 26, connect gas supply pipe 27, on this gas supply pipe 27, from gas introduction port 26 sides be linked in sequence valve 28, mass flow controller 29, handle gas supply source 30.Provide predetermined process gas from this processing gas supply source 30.
On the other hand, connect blast pipe 31, on this blast pipe 31, connect exhaust apparatus 35 in the bottom of container handling 2.In addition, gate valve 32 is arranged on the sidewall of container handling 2, and carry trap apparatus between the adjacent load locking room (not shown).
For the device of formation like this, at first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Afterwards, closed shutter valve 32 after reducing pressure, is opened valve 28, and is provided predetermined process gas from etching gas supply source 30 in by 35 pairs of container handlings 2 of exhaust apparatus, make the pressure in the container handling 2 become setting.
Under this state, provide high frequency power from first, second high frequency electric source 40,50, plasma processing gas, and the regulation film of trap apparatus implemented plasma treatment (plasma-resistance improve handle or plasma etching).At this moment, before and after the timing that high frequency power is provided from first, second high frequency electric source 40,50, the electrode 12 in electrostatic chuck 11 applies direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11, implements the plasma treatment of regulation under this state.
Fig. 2 is another routine sectional view that plasma processing apparatus of the present invention is implemented in expression.
This plasma Etaching device 61 has container handling 62.Container handling 62 forms the subsection cylindrical shape that top 62a and large diameter bottom 62b by minor diameter constitute, and forms ground connection by the aluminium behind metal, for example oxidation processes surface.Bottom in container handling 62 through insulator 63, is provided with the conductive material as the lower electrode of parallel plate electrode, for example the pedestal 65 that is made of the aluminium behind the oxidation processes surface.On this pedestal 65, electrostatic chuck 71 is set, loads trap apparatus such as semiconductor wafer thereon.
Electrostatic chuck 71 constitutes holding electrode 72 between insulator, by applying the DC power supply 73 that is connected on the electrode 72, Electrostatic Absorption trap apparatus.In addition, surround the configuration of trap apparatus ground by Si or SiO 2Deng the focusing ring 75 that constitutes, etched uniformity is improved.
In addition, above pedestal 65, with pedestal 65 relatively, the upper electrode plate 81 that is made of Si etc. of spray head is set in the top of container handling 62 62a upper support.Container handling 62 is also as the parallel plate-type electrode in the face of pedestal.Around the 62a of the top of container handling 62, multipolarization magnet 82 is set rotatably.
Central authorities on container handling 62 are provided with gas introduction port 86, on this gas introduction port 86, connect gas supply pipe 87, on this gas supply pipe 87, from gas introduction port 86 sides be linked in sequence valve 88, mass flow controller 89, handle gas supply source 90.Provide predetermined process gas from this processing gas supply source 90.
On the other hand, connect blast pipe 91, on this blast pipe 91, connect exhaust apparatus 95 in the bottom of container handling 62.In addition, gate valve (not shown) is set on the sidewall of container handling 62, and carries trap apparatus between the adjacent load locking room (not shown).
On pedestal 65, connect first high frequency electric source 101 and second high frequency electric source 102 through adaptation 100 as lower electrode.The frequency of first, second high frequency electric source 101,102 for example is respectively 100MHz and 3.2MHz.
For the device of formation like this, at first, open gate valve (not shown) is moved into trap apparatus in the container handling 62, is configured on the electrostatic chuck 71.Afterwards, the closed shutter valve after reducing pressure, is opened valve 88, and is provided predetermined process gas from etching gas supply source 90 in by 95 pairs of container handlings 62 of exhaust apparatus, make the pressure in the container handling 62 become setting.
Under this state, provide high frequency power from first, second high frequency electric source 101,102, plasma processing gas, and the regulation film of trap apparatus implemented plasma treatment (plasma-resistance improve handle or plasma etching).At this moment, before and after the timing that high frequency power is provided from first, second high frequency electric source 101,102, electrode 72 in electrostatic chuck 71 applies direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 71, implements the plasma treatment of regulation under this state.
Below, the execution mode of method of plasma processing of the present invention is described.
(execution mode 1)
Here, with plasma processing apparatus shown in Figure 11, implement following operation: plasma irradiating trap apparatus, this handled object have the SiO as etching object layer as shown in Figure 3 2 Film 121 and photoresist layer 122, this photoresist layer are to cover SiO 2The mask layer of film 121 is made of ArF photoresist or F2 photoresist, and the plasma-resistance of photoresist layer 122 is improved; After this operation, with photoresist layer 122 as mask, plasma etching etching object layer 121.
As ArF photoresist or F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin, methacrylic resin etc.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides processing gas, for example H from handling gas supply source 30 2, and the pressure in the container handling 2 are become setting, preferably below the 13.3Pa (100mTorr), 6.7Pa (50mTorr) for example.Under this state, apply high frequency power to upper electrode 21 and pedestal 5, plasma processing gas, the photoresist layer 122 of plasma irradiating in the trap apparatus as lower electrode.At this moment, before and after electrode applied the timing of high frequency power up and down, the electrode 12 in electrostatic chuck 11 applied DC power supply 13, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.
Also can replace H 2Plasma, irradiation comprises H 2With the plasma of the plasma of the processing gas of inert gases such as He, Ne, Ar, Kr, Xe or other material with H, comprise the plasma of the processing gas of material with H and other material, for example inert gas.Have the material of H, for example NH as other 3By the irradiation of these gases, improve as the plasma-resistance of the photoresist layer 122 of organic layer.Though detailed mechanism may not be clear and definite, think that the plasma with H promotes that C-O key or c h bond become the C-C key as the cross-linking reaction of the photoresist layer 122 of organic layer, thereby strengthen chemical bond, plasma-resistance is improved.As material, from handling easily preferably above-mentioned H with H 2Or NH 3NH 3Also be material with N, but as processing gas, but other has the material of N, for example comprises N 2N 2Also have to handle and be easy to advantage.Because the material by will having N is with dealing with gas, the plasma-resistance raising of photoresist layer 122 is used the material with N so also can not use the material with H.The detailed mechanism that this moment, anti-plasma improved may not be clear and definite, but think C bonding in N and the ArF photoresist, can form the diaphragm of CN system on ArF photoresist layer surface, the plasma-resistance raising of ArF photoresist.In handling gas, contain N 2Under the situation Deng material, preferably also comprise material with H with N.This is owing to thinking the bonding that has promoted N and C owing to the existence of H.As material, can use from H with H 2, CHF 3, CH 2F 2, CH 3More than one that select among the F.
Shining plasma as mentioned above after the stipulated time, stopping to provide and handle gas and apply high frequency power.
Afterwards, the pressure in the container handling 2 is become the setting that is suitable for etching work procedure, for example 2.0Pa (15mTorr) provides etching gas from handling gas supply source 30.As etching gas, preferably comprise the gas of fluorocarbon, for example C 5F 8As instantiation, C for example 5F 8+ O 2+ Ar.Etch target portion is SiO 2Layer is to comprise C at etching gas 5F 8The situation of gas under, as the SiO of etch target portion 2Film 121 to as the selection of the photoresist layer 122 of organic layer than (etch-rate of the etch-rate/organic layer of etch target portion) height.At C 5F 8In, preferably select than higher straight chain C 5F 8, wherein especially using 1,1,1,4,4,5,5, (following note is made " 2-C to 5-octafluoro-2 pentyne 5F 8".) situation under, above-mentioned selection is bigger than very.In addition, as etching gas, preferably comprise C 4F 6By using C 4F 6, in etching work procedure, on the ArF photoresist, pile up polymer, so photoresist can loss, the opening shape that can keep expectation is constant, forms etch-hole.
When so flowing through etching gas, apply high frequency power to upper electrode 21 and pedestal 5 as lower electrode, the plasma etching gas as mask, comes etching SiO by this plasma with photoresist layer 122 2 Film 121.
In etching, detect the luminous intensity of regulation by endpoint detector (not shown), finish etching in view of the above.
In addition, etch target portion is not limited to SiO 2Film is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.At this moment, because the material difference of etch target portion can use the gas that has only added other gas in handling gas to be used as etching gas.So after the operation of the plasma of treatment with irradiation gas, if can come etching by only adding other gas, it is constant then can to keep plasma discharge, carries out the operation and the etching work procedure of the plasma of treatment with irradiation gas continuously.As instantiation, for example implement following operation, in the operation of the plasma of treatment with irradiation gas, use H 2As handling gas, afterwards, use H 2With CF 4And the mist of Ar is as etching gas, as etch target portion etching organic oxidation film for example.
In addition, be not limited to the low photoresist materials of plasma-resistance such as ArF photoresist or F2 photoresist, also can replace other organic photoresist layer, and, be not limited to photoresist, also can be other organic layer.The structure of plasma processing apparatus also is not limited to shown in Figure 1.
Below, the embodiment of the method for above-mentioned execution mode 1 is described.
Here, each condition as the irradiation plasma process is made as 6.7Pa (50mTorr) with the pressure in the container handling, will handle gas H 2Flow be made as 0.05-0.2L/min (50-200sccm), irradiation time was made as 30 seconds, apply the high frequency power of 60MHz frequency with the power of 500-1000W to upper electrode, do not apply high frequency power to lower electrode.In addition, as each condition in the etching work procedure, the container handling internal pressure is made as 2.0Pa (15mTorr), with etching gas C 5F 8, Ar, O 2Flow be made as 0.015L/min (15sccm), 0.38L/min (380sccm), 0.019L/min (19sccm) respectively, apply the high frequency power of 60MHz frequency with the power of 2170W to upper electrode, apply the high frequency power of 2MHz frequency with the power of 1550W to lower electrode.
Shine in the comparative example of plasma process with omission at this embodiment, relatively the SiO in the etching work procedure 2Film to the selection of ArF photoresist mask than (SiO 2The etch-rate of the etch-rate of film/ArF photoresist mask).With regard to all 4 points at the mensuration position of trap apparatus, by as embodiment, shining plasma, to compare with the comparative example that does not shine plasma, above-mentioned selection is than rising.Climbing is 6-19%.
(execution mode 2)
Here, with above-mentioned plasma-etching apparatus 1, implement first etching work procedure (Fig. 4 A), to trap apparatus, by the pattern openings of photoresist layer 133, etching prevents reflectance coating 132, and this trap apparatus has the SiO of Fig. 4 A 2 Film 131, cover this SiO 2Film 131 prevent reflectance coating 132 and photoresist layer 133, this photoresist layer 133 covers this and prevents reflectance coating 132, is made of ArF photoresist or F2 photoresist, simultaneously, the plasma-resistance of photoresist layer 133 is improved; With second etching work procedure (Fig. 4 B), by the photoresist layer 133 after this operation, plasma etching SiO 2Film 131.
At first, trap apparatus is moved into, is configured in the container handling 2, provide processing gas, for example N of double as first etching gas from handling gas supply source 30 2With H 2, simultaneously, the pressure in the container handling 2 is become setting, for example 107Pa (800mTorr).The container handling internal pressure of this moment is 107-160Pa (800-1200mTorr) preferably.If lower than 107Pa, then if shoulder that also can etching photolithograhic glue-line 133, especially pattern openings bigger than 160Pa, then can not carry out the etching of opening portion.Processing gas as double as first etching gas can use the gas, for example N that comprise N 2, NH 3, in addition, also can use the gas that comprises H, for example from H 2, CHF 3, CH 2F 2, CH 3Select among the F more than a kind.
Then, upwards lower electrode applies high frequency power, plasmaization first etching gas, and as mask, etching prevents reflectance coating 132 with photoresist layer 133.As preventing reflectance coating 132, can use amorphous carbon or organic family macromolecule material.This etching is double as processing that the plasma-resistance of photoresist layer 133 is improved simultaneously also.Only after the etching at the appointed time, finish first etching.
Make by these that to handle gas identical with etching gas, can not need in the gas switching between the operation of photoresist layer 133 irradiation plasmas and operation that etching prevents reflector 132, can handle at short notice, can boost productivity.In addition, improve processing owing to when etching prevents reflector 132, can carry out the plasma-resistance of ArF photoresist, so needn't be used for the unnecessary device and the space of this processing.
Then, will handle gas (first etching gas) and switch to etching gas (second etching gas), the same with first etching, carry out and come plasma etching SiO by photoresist 133 2Second etching of film 131.As the etching gas of this moment, the same with execution mode 1, preferably comprise the gas of fluorocarbon, for example comprise C 5F 8As instantiation, C for example 5F 8+ O 2+ CO+Ar.At C 5F 8In, straight chain C preferably 5F 8, 2-C especially 5F 8As the fluorocarbon that is used for etching gas, also can be C 4F 6
In addition, in present embodiment 2, etch target portion also is not limited to SiO 2Film is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.In addition, being not limited to the low photoresist materials of plasma-resistance such as ArF photoresist or F2 photoresist, also can be other organic photoresist layer, and, be not limited to photoresist, also can be other organic layer.The structure of plasma processing apparatus also is not limited to shown in Figure 1.
Below, the embodiment of the method for above-mentioned execution mode 2 is described.
Here,, the container handling internal pressure is made as 107Pa (800mTorr), will handles gas (first etching gas) N as first etched each condition 2, H 2Flow be made as 0.6L/min (600sccm) respectively, apply the high frequency power of 60MHz frequency with the power of 1000W to upper electrode, apply the high frequency electric source of 2MHz frequency to lower electrode with the power of 300W.As second etched each condition, be to comprise 1,2,3,3,4,4,5 at etching gas, (following note is made " c-C to 5-octafluoro-ring-1-amylene 5F 8".) the situation of gas under (embodiment 2-1), the container handling internal pressure is made as 2.0Pa (15mTorr), with etching gas c-C 5F 8, Ar, O 2Flow be made as 0.015L/min (15sccm), 0.38L/min (380sccm), 0.019L/min (19sccm) respectively, apply the high frequency power of 60MHz frequency to upper electrode with the power of 2170W, applying the high frequency power of 2MHz frequency with the power of 1550W to lower electrode, is to comprise 2-C at etching gas 5F 8" the situation of gas under (embodiment 2-2), the container handling internal pressure is made as 2.7Pa (20mTorr), with etching gas 2-C 5F 8, Ar, O 2, CO flow be made as 0.027L/min (27sccm), 0.5L/min (500sccm), 0.027L/min (27sccm), 0.05L/min (50sccm) respectively, apply the high frequency power of 60MHz frequency with the power of 1600W to upper electrode, apply high frequency power to lower electrode with the power of 2MHz frequency, 2000W.
On the contrary, the plasma-resistance of ArF photoresist there be not the CF of raising effect by handling gas for not thinking 4Carry out after first etching, the same with embodiment 2-1, with comprising c-C 5F 8Gas carry out second etching, it 2-1 as a comparative example is the same with embodiment 2-2, with comprising 2-C 5F 8Gas carry out second etching, with it 2-2 as a comparative example.The result is as shown in table 1.
Table 1
Handle gas Etching gas In second etching work procedure, SiO 2The etch-rate of etch-rate/ArF photoresist
Embodiment 2-1 N 2+H 2 Comprise c-C 5F 8Gas 8.3
Comparative example 2-1 CF 4 Comprise c-C 5F 8Gas 6.3
Embodiment 2-2 N 2+H 2 Comprise 2-C 5F 8Gas 63.3
Comparative example 2-2 CF 4 Comprise 2-C 5F 8Gas 22.5
As shown in table 1, confirm to prevent in first etching work procedure of reflectance coating, by using N in etching 2With H 2The plasma of mist, the plasma-resistance of ArF photoresist film improves, and after etching SiO 2In second etching work procedure of film, SiO 2Film to the selection of ArF photoresist film than (SiO 2The etch-rate of etch-rate/ArF photoresist) uprise.
(execution mode 3)
Here, with plasma-etching apparatus shown in Figure 2 61, implement following operation: to trap apparatus, this trap apparatus has the SiO as etching object layer of Fig. 5 A 2 Film 141, cover this SiO 2Film 141 prevent reflectance coating 142 and photoresist layer 143, this photoresist layer 143 covers this and prevents reflectance coating 142, constitute by ArF photoresist or F2 photoresist, pass through plasma, the plasma-resistance of photoresist layer 143 is improved, and, come etching to prevent reflectance coating 142 (Fig. 5 A) by the patterns of openings 143a of photoresist layer 143; With by the photoresist layer 143 after this operation, plasma etching SiO 2Film 141 (Fig. 5 B).
In this embodiment, as ArF photoresist or F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin.As preventing the reflector, can use organic family macromolecule material or amorphous carbon.
At first, open not shown gate valve is moved into trap apparatus in the container handling 62, is configured on the electrostatic chuck 71.Then, the closed shutter valve, after reducing pressure in by 95 pairs of container handlings 62 of exhaust apparatus, open valve 88 provides processing gas, for example H from handling gas supply source 90 2, and the pressure in the container handling 62 are become setting.Handling gas can only be H 2, also can be with for example with H 2The same traffic degree is added diluent gass such as Ar.As handling gas, also can replace H 2, use other to have the material of H.
Under this state, provide high frequency power from first, second high frequency electric source 101,102, make and handle gaseous plasmaization, and act on trap apparatus.At this moment, before and after the timing that high frequency power is provided, the electrode 72 in electrostatic chuck 71 applies DC power supply 73, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 71.
As mentioned above, the plasma treatment of the time that puts rules into practice improves the plasma-resistance of photoresist layer 143, and simultaneously, etching prevents reflector 142, preferably container handling 62 interior pressure at this moment is made as below the 13.3Pa (1000mTorr).After becoming low pressure if so, to the plasma that comprises the processing gas of H as photoresist layer 143 irradiations of mask layer, then with its surface modification, the plasma-resistance of mask layer improves.Improve by the plasma-resistance that makes photoresist layer 143, afterwards, in the time of can coming the plasma etching etching object layer at patterns of openings 143a, improve etching object layer and compare, be the etch-rate of the etch-rate/mask layer of etching object layer with the selection of mask layer through photoresist layer 143.In addition, can prevent from this etching work procedure, to make muscle or groove to enter photoresist layer 143 as mask layer because of plasma.And, can suppress peristome expansion as the photoresist layer 143 of mask layer.May not be clear and definite as the detailed mechanism that the plasma-resistance of the photoresist layer 143 of mask layer improves, but think in photoresist layer, to extrude CH owing to act on the surface of photoresist layer 143 by the H atomic group 4Deng gas, thereby chemical bond between the carbon in the mask layer each other becomes stronger key.In addition, comprise material in the preferred process gas with N.This is because when comprising the material with N in the processing gas; with C and N is the sidewall surfaces of the diaphragm meeting coverage mask layer of main component; think can not be impregnated into inside from sidewall surfaces to making plasma-resistance improve effective H atomic group, the raising of the plasma-resistance of the sidewall surfaces of mask layer can not spread all in the thick degree of depth.To the viewpoint of the infringement of photoresist layer 143, preferred process pressure is 8-30mTorr from further mitigation is handled.
In addition, by providing plasma to form the high frequency power of usefulness to pedestal 65, also can improve plasma-resistance as the photoresist layer 143 of mask layer from first high frequency electric source 101.The frequency of this moment is preferably more than the 100MHz.In addition, by from second high frequency electric source 102 to pedestal 65 provide with above-mentioned different high frequency power, preferably frequency is the power more than the 3MHz, spike, the especially ion in the may command plasma then.This different high frequency power is preferably below the 100W.This is because by handling under the atmosphere of low pressure, low-power (hanging down bias voltage), can will be suppressed to minimum to the infringement as the photoresist layer 143 of mask layer.In addition, because the H atomic group is impregnated into inside from the sidewall of photoresist layer 143 under the atmosphere gas of low pressure, low-power (low bias voltage), so the thick part that can be implemented in from the sidewall surfaces of photoresist layer 143 to inside improves plasma-resistance.This is because photoresist layer 143 is organic materials, contains carbon, so this surface modification effect is obvious.In addition, for the ArF photoresist or F2 photoresist that constitute photoresist layer 143, change owing to improve the front and back plasma-resistance of handling at plasma-resistance, so be suitable for this processing man-hour in trickle adding, effect is very big.In addition, with this plasma-resistance improve handle in owing to requiredly during to the etching object layer etching prevent in addition etching of reflector 142, thus basically not etching as the photoresist layer 143 of mask layer, but just etching prevents reflector 142.
At this moment, as mentioned above, by the high frequency power of the frequency more than the 100MHz is provided to pedestal 65, H2 disassociation in the container handling 62, become various spikes, in this spike, mainly be that the H atomic group helps to improve the plasma-resistance as the photoresist layer 143 of mask layer, mainly be the etching that H atomic group and ion help to prevent reflector 142.Because the help balance of this spike is good, so when plasma-resistance as the photoresist layer 143 of mask layer was improved, effectively etching prevented reflector 142.And, by the high frequency electric source with upper frequency provides high frequency power to pedestal 65 from second high frequency electric source 102 from 3MHz, the activity of the ion in this spike of may command.
Then, in above-mentioned processing gas, be provided for the SiO of etching as etching object layer 2Etching gas, for example C of film 141 usefulness 4F 6With O 2Comprise the gas of fluorocarbon with mist of Ar etc., apply high frequency power from first and second high frequency electric sources to pedestal 65, the above-mentioned processing gas of plasmaization as mask, comes etching SiO by this plasma with photoresist layer 143 2 Film 141.
In etching, detect the luminous intensity of regulation by endpoint detector (not shown), and finish etching in view of the above.
In addition, in the present embodiment, etch target portion is not limited to SiO 2Film is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.In addition, being not limited to the low photoresist materials of plasma-resistance such as ArF photoresist or F2 photoresist, also can be other organic photoresist layer, and, be not limited to photoresist, also can be other mask layer.The structure of plasma processing apparatus also is not limited to shown in Figure 2.
Below, the embodiment based on present embodiment is described.
Here, at first, container inner pressure is made as three kinds of 1.07Pa (8.0mTorr), 4.00Pa (30mTorr), 13.3Pa (100mTorr), provides H from handling the gas supply source 2, as handling gas.The frequency of first and second high frequency electric sources is made as 100MHz, 3.2MHz respectively, and its power is made as 2400W, 500W.In addition, also estimate not from second high frequency electric source provide power situation (=0W).Cross section state with microscope (SEM) observation mask layer is estimated.
As a result, when pressure is 1.07Pa (8.0mTorr), 4.00Pa (30mTorr), do not have the expansion that muscle, groove enter mask layer or peristome basically.When pressure was 13.3Pa (100mTorr), it is few that muscle, groove enter the expansion of mask layer or peristome.If pressure uprises, cause easily that then muscle, groove enter.
In addition, with regard to the power that provides from second high frequency electric source, compare during with 500W during 0W, the expansion that muscle, groove enter mask layer or peristome is few.If wait according to these results and to consider, then the power that provides from second high frequency electric source is preferably below the 100W.
And, pressure fixing at 1.07Pa (8.0mTorr), is made H 2Changes in flow rate be 50mL/min (sccm), 100mL/min (sccm), 120mL/min (sccm), 200mL/min (sccm), it is few that wherein flow is after a little while, muscle, groove enter the expansion of mask layer or peristome.
At the SiO of etching afterwards as etching object layer 2In the operation of film, in container handling, use C 4F 6With O 2With the mist of Ar as etching gas, the pressure in the container handling is made as 6.66Pa (50mTorr), establishing the high frequency power that offers pedestal 65 from first high frequency electric source is 600W, is 1800W from what second high frequency electric source provided.By providing high frequency power to come the plasma etching gas from first high frequency electric source, and etching is as the SiO of etching object layer 2Film.After by end etchings such as end point determination methods, the result that the same SEM of execution observes, even if after the plasma etching of etching object layer finishes, it is also not many that the minimizing significantly of mask layer, muscle, groove enter the expansion of peristome of mask layer or mask layer.Thus, the raising effect of the plasma-resistance of mask layer of the present invention also continues behind the plasma etching of etching object layer as can be known.
(execution mode 4)
Here, with above-mentioned plasma processing apparatus shown in Figure 11, implement following operation: to trap apparatus, come etching to prevent reflectance coating 152 through the patterns of openings of photoresist layer 153, this trap apparatus has the SiO as etching object layer as shown in Figure 6A 2Layer 151, cover it prevent reflector 152 and photoresist layer 153, this photoresist layer 153 is to cover to prevent mask layer reflector 152, that form patterns of openings 153a, is made of ArF photoresist or F2 photoresist; With etching SiO 2Layer 151.
In this embodiment, as ArF photoresist or F2 photoresist, also can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin.As preventing the reflector, can use organic family macromolecule material or amorphous carbon.
In the present embodiment, divide following 3 stages to carry out this etching work procedure, i.e. first etching work procedure, by the patterns of openings 153a of photoresist layer 153, plasma etching prevents reflectance coating 152; Second etching work procedure, by the patterns of openings of photoresist layer 153, etching SiO 2Layer 151 is to midway; With the 3rd etching work procedure, after second etching work procedure, go back etching SiO 2Layer 151.Wherein, second etching work procedure is as SiO 2The initial etch operation of layer 151 is carried out, and the 3rd etching work procedure is as SiO 2The main etching work procedure of layer 151 is carried out.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides H from handling gas supply source 30 2, and the pressure in the container handling 2 are become setting.Under this state, provide high frequency power, plasma H from first, second high frequency electric source 40,50 2, make it to act on trap apparatus, by the patterns of openings of photoresist layer 153, etching prevents reflector 152 (first etching; Fig. 6 A).On the other hand, before and after the timing that high frequency power is provided from first, second high frequency electric source 40,50, the electrode 12 in electrostatic chuck 11 applies DC power supply 13, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.In etching, detect the luminous intensity of regulation by endpoint detector (not shown), and providing of high frequency power is provided in view of the above, finish first etching.
Then, the same with first etching work procedure, in same container handling or in other container handling, provide CF 4With H 2Mist, and the patterns of openings by photoresist layer 153, etching SiO 2The layer 151 to midway (second etching work procedure; Fig. 6 B).Through the etching period of regulation, for example after 60 seconds, finish this second etching work procedure.Afterwards, the same with second etching work procedure, gas, for example straight chain C different with second etching work procedure is provided in same container handling or in other container handling 5F 8With O 2With the mist of Ar, further etching etching SiO 2Layer 151 (the 3rd etching work procedure; Fig. 6 C).Finish the 3rd etching work procedure according to end point determination.
Like this, by using CF 4With H 2The SiO of plasma 2Layer 151 second etching work procedure is on the surface as the ArF photoresist layer 153 of mask layer, especially with SiO 2Layer 151 boundary vicinity forms many diaphragms, after the 3rd etching work procedure in, can suppress the warpage of photoresist layer 153.In addition, in first etching work procedure, by using H 2Plasma come etching to prevent reflector 152, can further effectively suppress the warpage of the photoresist layer 153 in the 3rd etching work procedure.Think that this is because pass through H 2Plasma, detach oxygen atom near surface as the photoresist layer 153 of mask layer, form the key between the carbon firm on the structure.
The effect of this warpage that is suppressed photoresist layer 153 by plasma is especially remarkable under the situation of the methacrylic resin (being meant the resin that adds methacrylic acid in the structure) that is out of shape because of plasma easily at its material, even if but acrylic resin (be meant and add acrylic acid resin in the structure) waits other resin, also can obtain same effect.Wherein, be under the situation of acrylic resin at the material of photoresist layer, because the distortion patience of plasma is bigger relatively in can the mask spare of trickle processing, so when etching prevents first etching in reflector, needn't use H 2Gas can use etch-rate to compare H 2High, to the infringement of mask layer minimum CF in fluorocarbon 4Plasma come high-rate etching to prevent reflector 152.
In addition, the etching gas as the 3rd etching work procedure comprises straight chain C by use 5F 8With O 2Gas, can be further anisotropically, further etching at high speed is as the SiO of etching object layer 2Layer 151.In addition, the etching gas of the 3rd etching work procedure is not limited thereto, but the CF that uses in the preferred use and second etching work procedure 4With H 2The different gas of mist.This is because form in second etching work procedure after the structure of the warpage that suppresses mask layer, by switching to the etching gas of the 3rd etching work procedure, can have the function of the expectations such as etching of further anisotropic etching or further high speed.From further anisotropically, further etching SiO at high speed 2The viewpoint of layer 151 is seen, the preferred gas that comprises fluorocarbon that uses, and as etching gas, but the preferably above-mentioned straight chain C that comprises 5F 8With O 2Gas.
There is the etching work procedure when preventing reflector 152 in explanation above, but does not exist under the situation that prevents the reflector, omits above-mentioned first etching work procedure, at first implements the initial etch operation, plasma CF 4With H 2, by the patterns of openings of ArF photoresist layer, etching is as the SiO of etching object layer 2Layer after this initial etch operation, is implemented main etching work procedure to midway, and plasmaization preferably comprises the etching gas of fluorocarbon, the preferably above-mentioned straight chain C that comprises 5F 8With O 2Gas, and etching is as the SiO of etching object layer 2The remainder of layer.At this moment, on ArF photoresist layer surface as mask layer, especially with SiO as etching object layer 2Near the boundary of layer, form many diaphragms, can suppress the warpage of the ArF photoresist layer in the main etching work procedure thereafter.
In addition, in the present embodiment, etch target portion also is not limited to SiO 2Film is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.In addition, be not limited to the low photoresist materials of plasma-resistance such as ArF photoresist or F2 photoresist, also can replace other organic photoresist layer, and, be not limited to photoresist, also can be other mask layer.The structure of plasma processing apparatus also is not limited to Fig. 1 institute not.
Below, the embodiment based on present embodiment is described.
For the handled object shown in above-mentioned Fig. 6 A prevent reflector 152 and as the SiO of etching object layer 2Layer 151 is with the etching of the No.1-6 of condition shown in the device execution list 2 shown in Figure 1.In addition,, the frequency of first high frequency electric source is made as 60MHz, the frequency of second high frequency electric source is made as 2MHz for arbitrary etching.
Particularly, No.1-3 uses the ArF photoresist of acrylic resin to be used as photoresist layer 153, and the 3rd etching work procedure of each all uses C 4F 6With O 2And Ar, wherein, No.1 uses CF in first etching work procedure 4, not carrying out second etching work procedure, No.2 uses CF in first etching work procedure 4, in second etching work procedure, use CF 4With H 2, No.3 uses H in first etching work procedure 2, in second etching work procedure, use CF 4With H 2In addition, No.4-6 uses the ArF photoresist of methacrylic resin to be used as photoresist layer 153, and the 3rd etching work procedure of each all uses straight chain C 5F 8With O 2And Ar, wherein, No.4 uses CF in first etching work procedure 4, not carrying out second etching work procedure, No.5 uses CF in first etching work procedure 4, in second etching work procedure, use CF 4With H 2, No.6 uses H in first etching work procedure 2, in second etching work procedure, use CF 4With H 2
After all operation finishes, the sample of each condition is investigated the warpage of photoresist layer 153.The result, in using the No.1-3 of acrylic resin as photoresist layer 153, the No.1 that does not carry out second etching work procedure exists as the vertical muscle of the deformed finger target of photoresist layer, and in the No.2,3 that carries out second etching work procedure, no matter use which kind of gas in first etching work procedure, do not have vertical muscle.On the other hand, in using plasma-resistance methacrylic resin the No.4-6 as ArF photoresist layer 153 lower than acrylic resin, there is vertical muscle in the No.4 that does not carry out second etching work procedure.In addition, in first etching work procedure, use CF 4And carry out among the No.5 of second etching work procedure, vertical muscle is few, confirms thus to suppress vertical muscle by second etching work procedure.The gas of carrying out second etching work procedure and first etching work procedure is H 2No.6 in do not have vertical muscle.That is, confirm constituting under the situation of photoresist layer 153, except that second etching work procedure, by in first etching work procedure, using H by the low material of the patience of relative plasma 2Come etching to prevent reflector 152, can not produce as the vertical muscle of the deformed finger target of photoresist layer.
Table 2
No. 1 2 3 4 5 6
The ArF photoresist Acrylic resin Methacrylic resin
First etching work procedure Pressure (Pa) (numerical value is mTorr in the parantheses) 6.7 (50) 2.0 (15) 6.7 (50) 2.0 (15)
Power (W) from first high frequency electric source 1000 2200 1000 2200
Power (W) from second high frequency electric source 100 100 100 100
Gas and flow (mL/min) CF 4:100 H 2:100 CF 4:100 H 2:100
Second etching work procedure Pressure (Pa) (numerical value is mTorr in the parantheses) Do not have 2.7 (20) Do not have 2.7 (20)
Power (W) from first high frequency electric source 1800 1800
Power (W) from second high frequency electric source 1800 1800
Gas and flow (mL/min) CF 4:120 H 2:180 CF 4:120 H 2:180
The 3rd etching work procedure Pressure (Pa) (numerical value is mTorr in the parantheses) 6.7 (50) 2.7 (20)
Power (W) from first high frequency electric source 1800 1800
Power (W) from second high frequency electric source 1150 1800
Gas and flow (mL/min) C 4F 6:25 O 2:26 Ar:700 Straight chain C 5F 8:27 O 2:30 Ar:500
Vertical muscle Have Do not have Do not have Have Few Do not have
(execution mode 5)
Here, with plasma processing apparatus shown in Figure 11, implement following operation: by the patterns of openings 163a of photoresist layer 163, to the organic reflector 162 that prevents of trap apparatus plasma etching, this handled object has the SiO on the bottom layer such as being formed at Si 160 shown in Fig. 7 A 2(thickness example: 1500nm), organic reflector 162 (thickness example: 60nm) and photoresist layer 163 that prevents of covering this etching object layer 161 such as the etching object layer 161 of layer etc., this photoresist layer covers this organic reflector 162 that prevents, form patterns of openings 163a (diameter example: 0.18 micron), constitute by ArF photoresist or F2 photoresist; With then, plasma etching etching object layer 161 forms patterns of openings 161a.
Below, describe with reference to the flow chart of Fig. 7 A-7C and Fig. 8.
ArF photoresist or F2 photoresist as constituting photoresist layer 163 can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin, methacrylic resin etc.
As organic reflector 162 that prevents, applicable organic family macromolecule material.
In addition, in the present embodiment, the surface at least of the upper electrode plate 24 of plasma processing apparatus 1 is made of the material that single crystalline Si, SiC etc. comprises Si.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2 (STEP1), is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides H from handling gas supply source 30 2Gas (STEP2), and the pressure in the container handling 2 are become setting.
Under this state, provide high frequency power, plasma H from first high frequency electric source 40, second high frequency electric source 50 2Gas, by the patterns of openings of photoresist layer 163, the organic reflector 162 (STPE3) (Fig. 7 A) that prevents of etching.On the other hand, before and after the timing that high frequency power is provided from first high frequency electric source 40, second high frequency electric source 50, the electrode 12 in electrostatic chuck 11 applies direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.After the etching stipulated time, stop to provide high frequency power and etching gas, finish organic etching (Fig. 7 B) that prevents reflector 162.Detect the luminous intensity of predetermined substance in the plasma by endpoint detector (not shown), and finish etching work procedure in view of the above.
Under the situation of present embodiment, using H 2Plasma come in the organic process that prevents reflector 162 of etching Si and H that the upper electrode plate 24 that is made of Si from surface at least provides 2Action of plasma is in the surface of photoresist layer 163, thereby on the surface of photoresist layer 163, formation comprises the thin protective layer 163b of Si-O or Si-C etc.
That is, think and using H 2Plasma come in the organic process that prevents reflector 162 of etching; produce and the C on photoresist layer 163 surfaces or the reaction of H; the result; become the state that reactive high C or O are present in the surface of photoresist layer 163 in a large number; the C of these high responses or O and the Si reaction that provides from upper electrode plate 24, formation comprises the thin protective layer 163b of materials such as Si-C or Si-O.
Like this; when the patterns of openings 163a by photoresist layer 163 comes that plasma etching is organic to prevent reflector 162; form thin protective layer 163b on the surface of photoresist layer 163, do not need other unnecessary operation, the plasma-resistance of photoresist layer 163 is improved.Therefore,, can not cause rough surface or striped, can keep the plasma-resistance of photoresist layer 163 high in that etching is organic when preventing reflector 162.
Then, provide for example C in same container handling or in other container handling 5F 8With O 2And Ar (STEP4), as etching gas, by with the organic step that prevents that reflector 162 is the same of etching, the patterns of openings 163a by photoresist layer 163 comes plasma etching etching object layer 161 (STEP5).Thus, in etching object layer 161, form for example the patterns of openings 161a of high aspect ratio (Fig. 7 C).Afterwards, after the intact etching object layer 161 of etching, trap apparatus is fetched into the outside (STEP6) of container handling 2 by gate valve 32.
When etching etching object layer 161; under the situation of present embodiment; surface at photoresist layer 163 forms protective layer 163b; thereby become the state of high anti-plasma; even so in plasma etching etching object layer 161, also can keep the plasma-resistance of photoresist layer 163 or the selection ratio of 161 pairs of photoresist layers 163 of etching object layer high.Therefore, can not produce the rough surface of photoresist layer 163 or sneak into vertical muscle, the condition of etch-rate that can be high is come plasma etching etching object layer 161.As a result, in needn't other unnecessary operation, the productivity ratio of plasma etching operation improves.In addition, because do not produce vertical muscle among the patterns of openings 163a of photoresist layer 163, so photoresist layer 163 is also improved as mask, the precision that is formed at the patterns of openings 161a on the etching object layer 161.
In above-mentioned STEP2,, can use He, N from the viewpoint of the plasma-resistance that improves photoresist layer 163 2Replace H 2But, using He, N 2Situation under, the organic reflector 162 that prevents of not etching basically.In addition, even if there is not organic reflector 162 that prevents, also can pass through H this moment 2, He, N 2The raising of carrying out the plasma-resistance of photoresist layer 163 specially of at least a plasma treatment handle.
Below, with reference to the flow chart of Fig. 9 A-9C and Figure 10 modified embodiment of the present embodiment is described.
In this variation, following example is shown, using CF 4The plasma etching of gas is organic to be prevented after the reflector 162, before etching etching object layer 161, by based on H 2The plasma treatment of gas comes to form protective layer 163b on the surface of photoresist layer 163.
That is, at first, open gate valve 32 is moved into trap apparatus in the container handling 2 (STEP11), is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides CF from handling gas supply source 30 4Gas (STEP12), and the pressure in the container handling 2 are become setting.
Under this state, provide high frequency power, plasma CF from first high frequency electric source 40, second high frequency electric source 50 4Gas, by the patterns of openings of photoresist layer 163, the organic reflector 162 (STPE13) (Fig. 9 A) that prevents of etching.
On the other hand, before and after the timing that high frequency power is provided from first high frequency electric source 40, second high frequency electric source 50, the electrode 12 in electrostatic chuck 11 applies direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.After the etching stipulated time, stop to provide high frequency power and etching gas, finish organic etching that prevents reflector 162.Detect the luminous intensity of predetermined substance in the plasma by endpoint detector (not shown), and finish etching work procedure in view of the above.
Then, the gas that offers container handling 2 is switched to H 2Gas (STEP14), this H of plasmaization 2Gas, and make H 2Plasma and the Si that provides from upper electrode plate 24 act on the stipulated time on the surface of photoresist layer 163, on the surface of photoresist layer 163, form the thin protective layer 163b (STEP15) (Fig. 9 B) that comprises Si-O or Si-C etc.
Promptly; under the situation of this variation; think in the process of the plasma treatment of photoresist layer 163; produce reaction with the C or the H on photoresist layer 163 surfaces; as a result, become the state that reactive high C or O are present in the surface of photoresist layer 163 in a large number, the C of high response or O and the Si reaction that provides from upper electrode plate 24; become Si-C or Si-O, form thin protective layer 163b.By this thin protective layer 163b that comprises Si-O or Si-C etc., the plasma-resistance of photoresist layer 163 improves.
Then, provide for example C in same container handling or in other container handling 5F 8With Ar and O 2(STEP16), as etching gas, by with the organic step that prevents that reflector 162 is the same of etching, the patterns of openings 163a by photoresist layer 163 comes plasma etching etching object layer 161 (STEP17).Thus, form for example the patterns of openings 161a of high aspect ratio (Fig. 9 C).Afterwards, after the intact etching object layer 161 of etching, trap apparatus is fetched into the outside (STEP18) of container handling 2 by gate valve 32.
When the patterns of openings 161a of etching etching object layer 161; under the situation of this variation; as mentioned above; surface at photoresist layer 163 forms protective layer 163b; thereby become state, so can keep highly to the selection ratio of mask the plasma-resistance or the etching of photoresist layer 163 with high anti-plasma.And, can in photoresist layer 163, not produce rough surface yet or sneak into vertical muscle, the condition of etch-rate that can be high forms patterns of openings 161a by plasma etching.As a result, in needn't other unnecessary operation, the productivity ratio of plasma etching operation improves.
During the formation of protective layer 163b in above-mentioned STEP15 is handled, also can be at H 2The time, use He, N 2Replace H 2
In addition, in the present embodiment, what etching object layer 161 was not limited to example is the Si oxide of representative with SiO2, other Si compound such as applicable Si nitride, Si carbide, single crystalline Si, polycrystalline Si, organic material, organic and inorganic composite material, metal, metallic compound etc.In addition, in the present embodiment, the photoresist material that plasma-resistances such as the ArF photoresist of example or F2 photoresist are low is effective especially, but be not limited thereto, even if carry out lithographic EB resist, carry out other organic photoresist layers such as lithographic EUV resist, KrF resist by vacuum ultraviolet by electron ray, also can obtain same effect.And, be not limited to photoresist layer, also can be other mask layer.And the structure of plasma processing apparatus also is not limited to shown in Figure 1.
And, the Si source when using upper electrode plate as the formation protective layer, but be not limited thereto, also can the component parts in the container handling, for example focusing ring, sealing ring, internal container be used as same Si source by the surperficial at least Si ground that comprises.Wherein, because handled object is provided with upper electrode plate relatively, so have the advantage of the raising processing that can in the face of handled object, evenly carry out plasma-resistance.
Below, the embodiment based on present embodiment is described.
First high frequency electric source 40 in following each embodiment and the comparative example, the frequency of second high frequency electric source 50 are made as 60MHz, 13.56MHz respectively.
(1) [plasma treatment of photoresist layer]
Here, carry out for the photoresist layer that is formed with patterns of openings that covers etching object layer, difference plasma H 2, N 2, carry out the embodiment 1-3 of plasma treatment behind the He and to carrying out the comparative example 1 of plasma treatment behind this photoresist layer plasma Ar.Carried out plasma treatment 1 minute.Use the ArF photoresist as photoresist layer.
(embodiment 5-1)
Container handling internal pressure: 2.01Pa (15mTorr)
High frequency power from first high frequency electric source: 2200W
High frequency power from second high frequency electric source: 100W
Handle gas and flow: H thereof 2, 0.1L/min (100sccm)
(embodiment 5-2)
Container handling internal pressure: 2.01Pa (15mTorr)
High frequency power from first high frequency electric source: 2200W
High frequency power from second high frequency electric source: 100W
Handle gas and flow: N thereof 2, 0.1L/min (100sccm)
(embodiment 5-3)
Container handling internal pressure: 2.01Pa (15mTorr)
High frequency power from first high frequency electric source: 2200W
High frequency power from second high frequency electric source: 100W
Handle gas and flow: He, 0.1L/min (100sccm)
(comparative example 5-1)
Container handling internal pressure: 2.01Pa (15mTorr)
High frequency power from first high frequency electric source: 2200W
High frequency power from second high frequency electric source: 100W
Handle gas and flow: Ar, 0.1L/min (100sccm)
Figure 11 A and Figure 11 B are respectively in the photoresist layer after acrylic compounds and methacrylic ArF photoresist are used in expression, the surface analysis result after the plasma treatment (uses H respectively 2, N 2, the line chart represented of He, Ar) figure.As shown in the figure, in embodiment 5-1~5-3, for propylene class and the arbitrary ArF photoresist of metering system class, observe all and can pass through based on H 2, N 2, He plasma separately plasma treatment, have the protective layer that comprises material with the bonding energy that is equivalent to Si-O or Si-C etc. on the surface of photoresist layer.
On the contrary, under the situation of comparative example 5-1, for propylene class and the arbitrary ArF photoresist of metering system class, only observe the Si that provides from upper electrode plate is provided based on the plasma treatment of Ar.
Though improve by surface attachment Si, plasma-resistance, this moment, after ashing, be created near the defective of adhering to Si the hole of etching object layer at photoresist layer.From this point, confirm preferably in plasma treatment, to use H 2, N 2, He.
(2) plasma treatment of the photoresist layer behind the reflector [etching is organic prevent]
For having etching object layer, covering the organic of etching object layer and prevent the reflector and cover organic trap apparatus that prevents the reflector and be formed with the photoresist layer of patterns of openings, the organic reflector that prevents of etching under the following conditions, afterwards, plasma treatment photoresist layer 163 (STEP11-15 of Fig. 9 A, 9B, Figure 10) under the condition identical with embodiment 5-1~5-3, comparative example 5-1.
Container handling internal pressure: 6.7Pa (50mTorr)
High frequency power from first high frequency electric source: 1000W
High frequency power from second high frequency electric source: 100W
Handle gas and flow: CF thereof 4, 0.1L/min (100sccm)
Then, etching etching object layer 161 (STEP16-18 of Fig. 9 C, Fig. 5) under the following conditions.
Container handling internal pressure: 2.01Pa (15mTorr)
High frequency power from first high frequency electric source: 2170W
High frequency power from second high frequency electric source: 1550W
Etching gas and flow thereof:
c-C 5F 8:0.015L/min(15sccm)
Ar:0.380L/min(380sccm)
O 2:0.019L/min(19sccm)
As mentioned above, after the etching of carrying out etching object layer, observe the cross sectional shape at the etching position of each handled object with electron micrograph.As a result, using H 2, N 2, in the handled object behind the photoresist layer that constitutes by the ArF photoresist of He, Ar plasma treatment, all can't see the rough surface of photoresist layer basically or sneak into vertical muscle.On the contrary, in above-mentioned operation, do not carry out seeing the rough surface of photoresist or sneaking into vertical muscle in the handled object of plasma treatment of photoresist layer.
In addition, when etching is organic prevent the reflector after, before the etching etching object layer, carry out under the situation of plasma treatment of photoresist layer, with compare the rough surface of the ArF photoresist layer after the etching etching object layer or to sneak into vertical muscle few in the situation that etching is organic carries out the plasma treatment of photoresist layer 163 before preventing reflector and etching object layer.Therefore, prevent from the reflector to use the big and CF less of etching speed in that etching is organic to the infringement of ArF photoresist 4Plasma afterwards, is carried out the plasma treatment of ArF photoresist layer, then, if carry out the etching of etching object layer, then can boost productivity and the etching precision.
(execution mode 6)
Here, use the plasma processing apparatus 1 of Fig. 1, the trap apparatus of Figure 12 is implemented to come plasma etching to prevent the operation in reflector 172 through the patterns of openings 173a of photoresist layer 173, this trap apparatus has for example by SiO 2The bottom layer 171 that constitutes, cover this bottom layer 171 prevent reflector 172 and photoresist layer 173, this photoresist layer covers and prevents reflector 172, is formed with patterns of openings, is made of ArF photoresist or F2 photoresist.In the present embodiment, as ArF photoresist and F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin etc.In addition,, both can use mineral-type, also can use organic class, for example can use amorphous carbon or high-molecular organic material as carbonaceous material as preventing reflector 62.
When etching, at first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides above-mentioned processing gas, for example C from handling gas supply source 30 2F 4With O 2, and the pressure in the container handling 2 are become setting.
Under this state, apply high frequency electric source to upper electrode 21 and pedestal 5 as lower electrode, plasma processing gas comes to prevent reflector 172 in the etching trap apparatus through the patterns of openings 173a of photoresist layer 173.On the other hand, before and after electrode applied the timing of high frequency power up and down, the electrode 12 in electrostatic chuck 11 applied direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.
In etching, detect the luminous intensity of regulation by endpoint detector (not shown), and finish etching in view of the above.
In the present embodiment, so use comprises C 2F 4Processing gas, for example comprise C 2F 4With O 2Processing gas, prevent reflector 172 through photoresist layer 173 etchings, suppress the rough surface of photoresist layer 173 thus, with preventing that the reflector from keeping highly to the selection ratio of photoresist layer, simultaneously, can increase the etch-rate that prevents reflector 172.
In addition, in the present embodiment, the invention is not restricted to above-mentioned execution mode, can carry out various distortion.For example, illustrating and prevent the situation of reflector as etching object layer, but be not limited thereto, also can be the situation of other layer of etching.In addition, as comprising C 2F 4Processing gas, be not limited to comprise C 2F 4With O 2And, comprise C in use 2F 4With O 2The situation of processing gas under, as mask layer, be not limited to ArF photoresist or F2 photoresist, also can use other photoresist, and, also can use non-photoresist layer.In addition, the structure of Etaching device also is not limited to shown in Figure 1.
Below, the embodiment based on present embodiment is described.
At first, the condition of embodiment is as follows.That is, the container handling internal pressure is made as 1.33Pa (10mTorr) and 6.66Pa (50mTorr), will handles the C of gas 2F 4With O 2Flow-rate ratio be made as C 2F 4: O 2=5: 2,3: 2,5: 4,1: 1,3: 4, with 600,1000,1400W applies the high frequency power that frequency is 60MHz to upper electrode, applies the high frequency power that frequency is 2MHz with 100W to lower electrode.
On the other hand, the condition of comparative example is as follows.That is, the container handling internal pressure is made as 6.66Pa (50mTorr), will handles gas and be made as CF 4, apply the high frequency power that frequency is 60MHz with 1000W to upper electrode, apply the high frequency power that frequency is 2MHz with 100W to lower electrode.
Under this condition, carry out after the etching, the selection that prevents reflector and ArF photoresist layer not too changes in embodiment and comparative example than (preventing the etch-rate of the etch-rate/ArF photoresist layer in reflector), but the etch-rate that prevents the reflector be in an embodiment in comparative example 1.2-3.6 doubly.In addition, no matter comparative example or embodiment do not produce the rough surface of ArF photoresist layer.Can confirm thus not produce the rough surface of ArF photoresist layer, can come etching to prevent reflectance coating by high etch rates by embodiment.
(execution mode 7)
Here, the plasma processing apparatus 1 that uses above-mentioned Fig. 1 is described, to the trap apparatus of Figure 13 A, the patterns of openings 183a by photoresist layer 183 comes etching to prevent the operation in reflector 182; With etching SiO after this operation 2The operation of layer 181, this trap apparatus has the SiO as etch target 2Layer 181, cover this layer 181 prevent reflector 182 and photoresist layer 183, this photoresist layer covers and prevents from reflector 182 to be made of ArF photoresist or F2 photoresist.In the present embodiment, as ArF photoresist and F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin.As preventing the reflector, can use high-molecular organic material or amorphous carbon.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after in by 35 pairs of container handlings 2 of exhaust apparatus, reducing pressure, open valve 28, provide the etching gas that comprises material and contain the material of H from handling gas supply source 30, and the pressure in the container handling 2 is become setting, for example 6.66Pa (50mTorr) with C and F.Under this state, apply high frequency electric source to upper electrode 21 and pedestal 5 as lower electrode, the plasma etching gas prevents reflector 182 (Figure 13 A) in the etching trap apparatus.Thus, can increase and finish the residue film amount that etching prevents reflector 182 photoresist layer 183 afterwards, can form the hole or the groove of the opening shape of expecting in the etching work procedure of the etch target portion that has secondarily.
The material that contains C and F that uses during as this etching, example is to the few CF of ArF photoresist layer infringement 4In addition, as material, can use hydrocarbon, H2, hydrofluorocarbons with H.As hydrocarbon, example CH 4Deng.As hydrofluorocarbons, preferably the ratio of the atomicity of H and the atomicity of F is the material more than 3, as this material, example CH 3F.Using CH 3Under the situation of F, by with CH 3The ratio that has C and the mass flow-rate of F in the flow of F and the etching gas is made as 0.04-0.07, and does not add CH 3Compare during F, can make and finish the residue film amount increase that etching prevents reflector ArF photoresist layer afterwards.
On the other hand, before and after electrode applied the timing of high frequency power up and down, the electrode 12 in electrostatic chuck 11 applied DC power supply 13, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.After the etching that prevents reflector 182 finishes, stop to provide etching gas and high frequency power like this.
Other etching gas, for example C then, are provided in container handling 2 5F 8With O 2With the mist of Ar, the pressure in the container handling 2 is adjusted to setting, for example 2.00Pa (15mTorr).Apply high frequency electric source, this etching gas of plasmaization, the SiO in the etching trap apparatus to upper electrode 21 with as the pedestal 5 of bottom 2Layer 181 (Figure 13 B).In etching, detect the luminous intensity of regulation by endpoint detector (not shown), and finish etching in view of the above.
In addition, etch target portion is not limited to above-mentioned SiO 2Layer is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.In addition, the structure of the plasma processing apparatus of Shi Yonging also is not limited to shown in Figure 1.
Below, the embodiment based on present embodiment is described.
Handled object uses the SiO that has shown in Figure 13 A 2Layer (thickness is 2 microns), cover preventing reflector (thickness is 60nm) and covering the handled object of the ArF photoresist layer (thickness is 360nm) of this layer of this layer.
The etching condition that prevents the reflector of embodiment is as follows.That is, the pressure in the container handling 2 is made as 6.66Pa (50mTorr), etching gas is made as CF 4(flow is 100mL/min (sccm)) and CH 3The mist of F (flow be 4 or 7mL/min (sccm)) applies the high frequency power of 1000W from the high frequency electric source of 60MHz frequency to upper electrode, applies the high frequency power of 100W to lower electrode from the high frequency electric source of 2MHz frequency.In addition, use H respectively 2(flow be 5,10 or 15mL/min (sccm)), CH 2F 2(flow be 5 or 10mL/min (sccm)) and CHF 3(flow be 10,30,50 or 70mL/min (sccm)) comes replaced C H 3The etching gas of F carries out etching too.
In comparative example, etching gas only is made as CF 4(flow is 100mL/min (sccm)), other etching condition is identical with embodiment.
Under the condition of above embodiment and comparative example, carry out the etching that prevents reflectance coating 182, measure thickness, obtain following result through the residue of the ArF photoresist layer after certain etching period film.
In an embodiment, using CH 3Under the situation of F,, during for 7mL/min 405nm when its flow is 375nm during for 4mL/min.Using H 2Situation under, when its flow is 345nm during for 5mL/min, be 360nm during for 10mL/min and 15mL/min.Using CH 2F 2Situation under, when its flow is 345nm during for 5mL/min, be 400nm during for 10mL/min.Using CHF 3Situation under, when its flow is 350nm during for 10mL/min, be 360nm during for 30mL/min, be 360nm during for 50mL/min, be 390nm during for 70mL/min.On the contrary, be 330nm in comparative example.
By above-mentioned, no matter confirm that in which embodiment, the thickness of residue film all increases to some extent than comparative example.Think that this is F spike and the appropriate gases such as becoming HF that reacts of the H spike that is generated by the gas with H owing to etching ArF photoresist layer, is discharged to outside the container handling.
In addition, CH in these embodiments 3F is preferred.Think CH 3Although the flow of F less but residue film amount is mostly because the H atomicity in the molecule is more than the F atomicity.Wherein, infer at H 2In chemically stable material, even if generate the H spike, than with the reaction of F spike, with after other H spike reaction again bonding have advantage, so residue film amount is many during than other material.
Therefore, confirm preferably that material itself is unstable to a certain extent, in this material, have a plurality of H atoms, a for example hydrocarbon (CH 4, C 2H 4Deng) or hydrofluorocarbons (especially the ratio of H atomicity and F atomicity be more than 3, for example CH 3F) etc. sneak in the etching gas.In addition, using CH 3Under the situation of F, confirm CH 3The flow of F and conduct have the CF of the material of C and F 4Even if flow than lacking to 0.04-0.07, also can increase residue film amount.
(execution mode 8)
Here, use the plasma processing apparatus 1 of above-mentioned Fig. 1, the trap apparatus of Figure 14 A is implemented following operation: the patterns of openings 193a by photoresist layer 193 comes etching to prevent reflector 192; With etching SiO after this operation 2Layer 191, this trap apparatus has the SiO as etch target 2Layer 191, cover this layer 191 prevent reflector 192 and photoresist layer 193, this photoresist layer covers and prevents from reflector 192 to be made of ArF photoresist or F2 photoresist.In the present embodiment, as ArF photoresist and F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin.As preventing the reflector, can use high-molecular organic material or amorphous carbon.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides and comprises material with C and F and first etching gas, for example CF of CO from handling gas supply source 30 4With the mist of CO, and the pressure in the container handling 2 are become setting, for example 13.3Pa (100mTorr).Under this state, apply high frequency electric source to upper electrode 21 and pedestal 5 as lower electrode, plasmaization first etching gas prevents reflector 192 (Figure 14 A) in the etching trap apparatus.On the other hand, before and after electrode applied the timing of high frequency power up and down, the electrode 12 in electrostatic chuck 11 applied DC power supply 13, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.After the etching that prevents reflector 192 finishes, stop to provide first etching gas and high frequency power.
Then, in container handling 2, provide second etching gas, for example comprise C 5F 8, C 4F 6Deng the gas of fluorocarbon, particularly be C 5F 8Or C 4F 6, O 2With the mist of Ar, the pressure in the container handling 2 is adjusted to the second etched setting, for example 2.00Pa (15mTorr).Apply high frequency electric source, plasmaization second etching gas, the SiO in the etching trap apparatus to upper electrode 21 with as the pedestal 5 of bottom 2Layer 191 (Figure 14 B).In etching, detect the luminous intensity of regulation by endpoint detector (not shown), and finish etching in view of the above.
In addition, etch target portion is not limited to above-mentioned SiO 2Layer is also applicable to the etching of the oxide-film (oxygen compound) of TEOS, BPSG, PSG, SOG, heat oxide film, HTO, FSG, organic class oxidation Si film, CORAL (ノ ベ ラ ス company) etc. or low-dielectric organic insulating film etc.In addition, the structure of the plasma processing apparatus of Shi Yonging also is not limited to shown in Figure 1.
Below, the embodiment based on present embodiment is described.
Use the handled object shown in Figure 14 A to be used as handled object.First etching condition of embodiment is as follows.That is, the pressure in the container handling 2 are made as 6.66Pa (50mTorr) or 13.3Pa (100mTorr), the flow of first etching gas is made as CF 4: 75,100 or 200mL/min (sccm), CO:25,100 or 200mL/min (sccm), apply 1000,1500 or the high frequency power of 2000W from the high frequency electric source of 60MHz frequency to upper electrode, apply the high frequency power of 100W from the high frequency electric source of 2MHz frequency to lower electrode.
First etching condition of comparative example is as follows.That is, the pressure in the container handling 2 are made as 6.66Pa (50mTorr), only add CF with the flow of 100mL/min (sccm) 4Be used as first etching gas (not adding CO), the frequency of high frequency electric source, to apply power identical with embodiment.
Second etching condition of embodiment and comparative example is as follows.That is, the pressure in the container handling 2 is made as 2.00Pa (15mTorr), with the C of second etching gas 5F 8, O 2, Ar flow be made as 15,19 respectively, 380mL/min (sccm), apply the high frequency power of 2170W from the high frequency electric source of 60MHz frequency to upper electrode, apply the high frequency power of 1550W to lower electrode from the high frequency electric source of 2MHz frequency.
Carry out first etching and the second etched result in the above conditions, SiO in second etching work procedure 2Layer and the selection of ArF photoresist layer are than (SiO 2The etch-rate of the etch-rate/ArF photoresist layer of layer) in an embodiment than big in the comparative example.For example, first etching condition of embodiment is pressure: 13.3Pa (100mTorr), CF 4Flow: 75mL/min (sccm), CO flow: 25mL/min (sccm), upper electrode apply power: the above-mentioned selection ratio during 1000W is 9.7, and the above-mentioned selection ratio of comparative example is 6.3.
In addition, in second etching work procedure, when using C 4F 6Come replaced C 5F 8The time, above-mentioned selection is than (first etching gas is CF in an embodiment 4And CO) than (first etching gas only is CF in the comparative example 4) height.
Owing to think that the plasma of the gas by comprising material with C and F and CO has the diaphragm of bonding between carbon in the formation of ArF photoresist layer surface; so only the plasma of the gas by comprising material with C and F and CO to the surface irradiation of ArF photoresist layer just can make the plasma-resistance of ArF photoresist layer improve.
In addition, the present invention is also applicable in the mask layer raising effect of the plasma-resistance under ArF photoresist layer situation not, outside the ArF photoresist layer.
And,, be not limited to comprise C as second etching gas 5F 8Or C 4F 6Gas, also can use the gas of other fluorochemicals such as comprising fluorocarbon, hydrofluorocarbons.
(execution mode 9)
Here, with above-mentioned plasma processing apparatus shown in Figure 11, implement following operation: by the patterns of openings of photoresist layer 203, to the organic reflector 202 that prevents of trap apparatus plasma etching, this handled object has as shown in figure 15 as etch target SiO 2Layer 201, cover this SiO 2The organic reflector 202 and photoresist layer 203 of preventing of layer 201, this photoresist layer covers this organic reflector 202 that prevents, is formed with patterns of openings 203a, is made of ArF photoresist or F2 photoresist; With then, plasma etching SiO 2Layer 201.In the present embodiment, as ArF photoresist or F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin.As organic reflector 202 that prevents, for example applicable organic family macromolecule material.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides the SiF that comprises as containing the Si material from handling gas supply source 30 4Etching gas, and the pressure in the container handling 2 are become setting.Though also can use other material that comprises Si to replace SiF 4, but from increasing organic viewpoint that prevents the etching speed in reflector 202, preferably SiF 4Except that containing the Si material, also can comprise CHF in the etching gas 3, HBr, He or H 2, for example use SiF 4With H 2
Under this state, provide high frequency power from first, second high frequency electric source 40,50, the plasma etching gas, by the patterns of openings 203a of photoresist layer 203, the organic reflector 202 that prevents of etching.On the other hand, before and after the timing that high frequency power is provided from first, second high frequency electric source 40,50, the electrode 12 in electrostatic chuck 11 applies direct voltage, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.After the etching stipulated time, stop to provide high frequency power and etching gas, finish organic etching that prevents reflector 202.Detect the luminous intensity of regulation by endpoint detector (not shown), and finish etching work procedure in view of the above.
Then, in same container handling or in other container handling, by with the organic step that prevents that reflector 202 is the same of etching, the patterns of openings 203a by photoresist layer comes plasma etching SiO 2Layer 201.Etching gas as this moment for example can use C 4F 6With O 2And Ar, but be not limited thereto.
Like this, when preventing reflectance coating 202, owing to use as the SiF that comprises the gas of Si by the patterns of openings plasma etching of photoresist layer 203 is organic 4Gas so in etching, form the thin cured layer that comprises Si on the surface of photoresist layer 203, can make the plasma-resistance of photoresist layer 203 improve.Therefore,, can not produce rough surface or sneak into vertical muscle, can keep the plasma-resistance of the photoresist layer 203 that constitutes by low ArF photoresist of plasma-resistance or F2 photoresist high when etching is organic when preventing reflector 202.At this moment, contain H at organic etching gas in reflector 202 that prevents 2Situation under because the surface C of photoresist layer 203=O key transformation becomes chemically firm C-C key or C=C key, so when the surface of above-mentioned photoresist layer 203 forms the thin cured layer that comprises Si, plasma-resistance is improved.
In addition, like this etching is organic prevent reflector 202 after, the patterns of openings 203a by photoresist layer 203 implements the SiO as etching object layer 2Layer 201 etching, so even if at etching is organic plasma-resistance improves when preventing reflector 202 photoresist layer 203 at the SiO of plasma etching as etch target 2Layer also can be kept plasma patience high at 201 o'clock, and photoresist layer can not produce rough surface or sneak into vertical muscle ground and carry out plasma etching.
In addition, etching object layer is not limited to state with SiO 2Be the Si oxide of representative, other Si compound such as applicable Si nitride, Si carbide, single crystalline Si, polycrystalline Si, organic material, organic and inorganic composite material, metal, metallic compound etc.In addition, the structure of plasma processing apparatus also is not limited to shown in Figure 1.
Below, the embodiment based on present embodiment is described.
Here, use the handled object of the structure of Figure 15, organic etching that prevents the reflector of carrying out various etching gass of use (embodiment 9-1~9-7) and not have organic etching (comparative example 9-1,9-2) that prevents the reflector of the use etching gas of the material that contains Si with the material that contains Si.
The frequency of first, second high frequency electric source in each embodiment and the comparative example is made as 60MHz, 13.56MHz respectively.In addition, etching is organic in each embodiment under the following conditions and the comparative example prevents after the reflector plasma etching SiO under etching condition described later 2Layer.
The organic reflector that prevents of etching
(embodiment 9-1)
Container handling internal pressure: 0.67Pa (5mTorr)
High frequency power from first high frequency electric source: 300W
High frequency power from second high frequency electric source: 60W
Etching gas and flow thereof: SiF 4, 0.08L/min (80sccm)
(embodiment 9-2)
Container handling internal pressure: 6.7Pa (50mTorr)
High frequency power from first high frequency electric source: 700W
High frequency power from second high frequency electric source: 100W
Etching gas and flow thereof: SiF 4, 0.1L/min (100sccm)
(embodiment 9-3)
Container handling internal pressure: 0.67Pa (5mTorr)
High frequency power from first high frequency electric source: 300W
High frequency power from second high frequency electric source: 60W
Etching gas and flow thereof: SiF 4, 0.04L/min (40sccm)
CHF 3、0.04L/min(40sccm)
(embodiment 9-4)
Container handling internal pressure: 0.67Pa (5mTorr)
High frequency power from first high frequency electric source: 300W
High frequency power from second high frequency electric source: 60W
Etching gas and flow thereof: SiF 4, 0.04L/min (40sccm)
HBr、0.04L/min(40sccm)
(embodiment 9-5)
Container handling internal pressure: 0.67Pa (5mTorr)
High frequency power from first high frequency electric source: 300W
High frequency power from second high frequency electric source: 60W
Etching gas and flow thereof: SiF 4, 0.04L/min (40sccm)
He、0.04L/min(40sccm)
(embodiment 9-6)
Container handling internal pressure: 0.67Pa (5mTorr)
High frequency power from first high frequency electric source: 300W
High frequency power from second high frequency electric source: 60W
Etching gas and flow thereof: SiF 4, 0.04L/min (40sccm)
HBr、0.02L/min(20sccm)
He、0.02L/min(20sccm)
(embodiment 9-7)
Container handling internal pressure: 6.7Pa (50mTorr)
High frequency power from first high frequency electric source: 1000W
High frequency power from second high frequency electric source: 100W
Etching gas and flow thereof: SiF 4, 0.03L/min (30sccm)
H 2、0.02L/min(20sccm)
(comparative example 9-1)
Container handling internal pressure: 0.93Pa (7mTorr)
High frequency power from first high frequency electric source: 100W
High frequency power from second high frequency electric source: 250W
Etching gas and flow thereof: CF 4, 0.072L/min (72sccm)
CHF 3、0.026L/min(26sccm)
O 2、0.006L/min(6sccm)
(comparative example 9-2)
Container handling internal pressure: 6.7Pa (50mTorr)
High frequency power from first high frequency electric source: 1000W
High frequency power from second high frequency electric source: 100W
Etching gas and flow thereof: CF 4, 0.1L/min (100sccm)
Etching SiO 2Layer
(embodiment 9-1,9-3~9-6 and comparative example 9-1)
Container handling internal pressure: 16Pa (120mTorr)
High frequency power from first high frequency electric source: 550W
High frequency power from second high frequency electric source: 350W
Etching gas and flow thereof: CF 4, 0.1L/min (100sccm)
CHF 3、0.06L/min(60sccm)
(embodiment 9-2,9-7 and comparative example 9-2)
Container handling internal pressure: 2.7Pa (20mTorr)
High frequency power from first high frequency electric source: 1800W
High frequency power from second high frequency electric source: 1150W
Etching gas and flow thereof: C 4F 6, 0.025L/min (25sccm)
O 2、0.026L/min(26sccm)
Ar、0.7L/min(700sccm)
As mentioned above, carrying out SiO 2After layer 201 the etching, observe the cross sectional shape at etching position of the trap apparatus of each embodiment and comparative example with electron micrograph.As a result, in 9-1~9-7, all can't see the rough surface of ArF photoresist layer 203 basically or sneak into vertical muscle, but in comparative example 91-, 9-2, all see the rough surface of ArF photoresist layer 203 or sneak into vertical muscle.
(execution mode 10)
Here, with plasma processing apparatus shown in Figure 1, trap apparatus is implemented following a succession of operation, this handled object has with SiO shown in Figure 16 A 2Film is the etching object layer 211 that is made of the Si oxide of representative and the mask layer 212 that is made of ArF photoresist or F2 photoresist that covers this etching object layer 211.In the present embodiment, as ArF photoresist or F2 photoresist, can use to contain alicyclic acrylic resin, cyclic olefin resins, cycloolefin-anhydrous maleic acid resin, methacrylic resin etc.In the present embodiment, also be that the upper electrode plate 24 of the spray head in Fig. 1 device is made of Si.
At first, open gate valve 32 is moved into trap apparatus in the container handling 2, is configured on the electrostatic chuck 11.Then, closed shutter valve 32, after reducing pressure in by 35 pairs of container handlings 2 of exhaust apparatus, open valve 28 provides inert gas from handling gas supply source 30, Ar for example, and the pressure in the container handling 2 are become for example 1.33Pa (10mTorr).As inert gas, also can use other gases such as Kr, Xe.Under this state, apply high frequency power, at least a portion of plasma inert gas, the upper electrode plate 24 that sputter is made of Si from high frequency electric source 40,50 to upper electrode with as the pedestal 5 of lower electrode respectively.On the other hand, before and after electrode applied the timing of high frequency power up and down, the electrode 12 in electrostatic chuck 11 applied DC power supply 13, makes the trap apparatus Electrostatic Absorption on electrostatic chuck 11.
At this moment, the high frequency power that puts on the upper electrode 21 is to impel the energy of inert gas ionization.Upper electrode plate 24 by such sputter is made of Si shown in Figure 16 B, contains Si layer 213 in the formation of the surface of mask layer 212.It is short more to form the time that contains Si layer 213 on the surface of mask layer 212, then the effect of plasma-resistance raising is little more, long more, then can in etching object layer 211 surfaces of the opening portion of mask layer 212, form a large amount of Si layers that contain, etching after the meeting overslaugh is so preferably select reasonable time.For example, can adopt the frequency of the high frequency power that is applied to upper electrode 21: 60MHz, power: 2000W, put on the frequency of the high frequency power of pedestal 5: the condition of 2MHz, power: 100W, but under this condition, the preferred above-mentioned processing time is in the 60-90 scope of second.
In addition, with regard to power, to apply power be that 1250W, pedestal apply and (reduce the situation of so-called Vpp) under the situation that power is 400W and compare with establishing upper electrode, and above-mentioned condition can reduce the variation of the opening shape of the mask layer when containing the Si layer and forming.If Vpp is too high, then the opening of mask layer can enlarge, the hole or the groove of the patterns of openings that designs in the etching work procedure after can not forming.
Finishing after the surface formation of above-mentioned mask layer contains the Si layer, to stop to apply high frequency power.
Afterwards, in container handling 2, import etching gas, apply high frequency power to upper electrode 21 and pedestal 5, etching etching object layer 211.For example, under the situation that etching object layer 211 is formed by the Si oxide, preferably comprise from C 4F 6, C 4F 8, C 5F 8The middle at least a gas of selecting.As this etching gas, example C 4F 6With O 2Mist with Ar.In addition, the pressure in the example process container 2 are 2.67Pa (20mTorr), the high frequency power that puts on upper electrode 21 and pedestal 5 is respectively 1600W and 800W.The frequency of high frequency power of this moment is identical during all with sputter, is exemplified as 60MHz, 2MHz.By applying high frequency power, plasma etching gas, the etching object layer 211 that etching for example is made of the Si oxide.After etching finishes, stop to apply etching gas and high frequency power.
Under the condition of above-mentioned example, after the etching object layer 211 that etching is made of the Si oxide, etching object layer 211 is 28.8 with the selection of mask layer 212 than (etch-rate of the etch-rate/mask layer of etching object layer).In the etching of not carrying out when mask layer 212 surface formation contain the Si layer, above-mentioned selection ratio is 8.2.
So after carrying out etching, then implement to remove the operation (ashing operation) that forms the mask layer 212 that contains Si layer 213 on the surface.Here, example when carrying out the removal that is formed with the mask layer 212 that contains Si layer 213 on the surface with the multistage is shown.
In the phase I, in container handling 2, import fluorine-containing gas, for example CF 4, apply the high frequency power of stipulated time to upper electrode and 21 pedestals 5, remove fully basically to be formed at and contain Si layer 213 on the mask layer 212.This is because if residue contains the Si layer, then in the second stage below, when finishing to remove mask layer 212, adheres on the surface of handled object and contains the Si thing.At this moment, the high frequency power that the pressure in the example process container 2 are 6.66Pa (50mTorr), put on upper electrode 21 and pedestal 5 is respectively 1600w and 800W, and frequency is identical during all with sputter, is 60MHz, 2MHz.Under this condition,, can remove fully basically and contain Si layer 213 by handling 90 seconds.
In addition, as the gas of this moment, using to CF 4The middle O that adds 2Under the situation of the gas of Ar, can the mask layer 212 that be made of the ArF photoresist be caused damage.Therefore, the preferred use only is CF 4Gas, or to CF 4The middle O that adds 2With add under the situation of Ar etc. a small amount of.
As the gas of fluorochemical, also can use CF 4Gas in addition, but, preferably use CF from reducing viewpoint to the infringement of the mask layer 212 that constitutes by ArF photoresist etc. that contains Si layer 213 bottom 4
In second stage, import predetermined process gas, to upper electrode and 21 and apply high frequency power, remove except major part and contain mask layer 212 itself after the Si layer 213 as the pedestal 5 of lower electrode.At this moment, as handling gas, preferably use the gas that do not comprise fluorine compounds, for example only be O 2Gas, or comprise O 2With N 2Or the mist of Ar, or O 2With N 2And H 2Mist etc.
The actual processing of carrying out this second stage.At this moment, the frequency of pressure, high frequency power, high frequency electric source etc. does not have change with the above-mentioned phase I, and only gas is handled in change, carries out ashing.Here use O 2When the handled object of mask layer 212 had been removed in observation, the opening shape of hole or groove was identical with design basically with cross sectional shape.In addition, containing the Si thing can be attached on the handled object yet.
In the present embodiment, as mentioned above, come the ionization inert gas by the energy when the parallel plate-type electrode applies high frequency power, and the upper electrode plate 24 that constitutes by Si of sputter thus, on mask layer 212, formation contains the Si layer, so compare during with mask layer self, it is a lot of that plasma-resistance is improved.Especially using low ArF photoresist or the F2 photoresist of plasma-resistance to be used as under the situation of mask layer 212, it is obvious that this plasma-resistance improves effect.
In addition, in the ashing behind the etching etching object layer, the removal of branch multistage contains Si layer 213 and mask layer 212 is own, so even contain in formation under the situation of Si layer 213 and mask layer, also can carry out the removal that is suitable for each layer character.Much less, also can once remove and contain Si layer 213 and mask layer 212.Many stages remove with overall merit of once removing and shortcoming to determine which adopts.
In addition, as by sputtering in the mask layer target that forms when containing the Si layer, be not limited to the upper electrode plate of above-mentioned example, be the parts of Si if be configured at least a portion in the container handling, the surface, then can be other parts such as focusing ring, or configuration Si parts be used as target.In addition, other Si wafer itself (naked wafer) that does not carry out device fabrication is put into container handling, as target.In addition, as the Si as target, single crystalline Si execution sputter also can.
And, utilize in the above-mentioned example and carry out the parallel plate-type device that plasma etching is used, use high-frequency energy to carry out sputter, but be not limited thereto, the energy that provides to the small part inert gas ionization can be provided.For example,, be not limited to high-frequency energy, also can use microwave energy etc. as energy.In addition, different with above-mentioned parallel flat under the situation of using high-frequency energy, also can use the mode that the antenna high frequency power forms induction field that applies.
And, form the method that contains Si layer 213 on the surface of mask layer 212 and be not limited to sputter.For example, also can contain Si layer 213 in the formation of the surface of mask layer 212 by CVD.Formed by CVD under the situation that contains Si layer 213, the gas as constituting raw material can use organosilicon alkanes gas or inorganic silicon alkanes gas, but inorganic silicon alkanes gas preferably.The CVD of this moment can use these gases to implement according to routine.
Form the method that contains Si layer 213 as surface, also can adopt in etching gas, to add SiF at mask layer 212 4Method Deng the Si compound.Thus, can carry out the plasma raising of the mask layer 212 that constitutes by ArF photoresist or F2 photoresist and the etching of etching object layer 211 simultaneously.
In addition, in the present embodiment,, be not limited to above-mentioned Si oxide, various materials such as for example also applicable SiC, SiN, organic low-dielectric, SiOF, metal, metallic compound as etching object layer.But, be main component owing to be formed at the layer on mask layer surface with Si, be in the handled object of Si so be difficult to be applicable to etching object layer.This is that etch-rate is basic identical when being identical material owing to the mask layer surface with etching object layer.In addition, as mask layer, being not limited to the low photoresist materials of plasma-resistance such as ArF photoresist or F2 photoresist, can be other organic photoresist layer, and to be not limited to be photoresist, also can be other mask layer.

Claims (54)

1, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is configured in the container handling, this handled object has etching object layer and organic mask layer, this organic mask layer covers described etching object layer, is formed with patterns of openings, and this container handling comprises the component parts of the exposed division that has the material that comprises Si;
In described container handling, import from H 2, N 2At least a processing gas of selecting among the group who constitutes with He; With
The described processing gas of plasmaization, the described organic mask layer of plasma treatment.
2, method of plasma processing according to claim 1 is characterized in that:
After described plasma treatment operation, also has the etched operation of carrying out described etching object layer.
3, method of plasma processing according to claim 1 is characterized in that:
Described organic mask layer is the organic photoresist layer.
4, method of plasma processing according to claim 3 is characterized in that:
Described organic photoresist layer is made of ArF photoresist or F2 photoresist.
5, method of plasma processing according to claim 1 is characterized in that:
The material of the described Si of comprising is made of single crystalline Si.
6, method of plasma processing according to claim 1 is characterized in that:
The material of the described Si of comprising is made of SiC.
7, method of plasma processing according to claim 1 is characterized in that:
The described component parts that has the exposed division of the material that comprises Si is arranged on the counter electrode of the handled object in the described container handling.
8, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is configured in the container handling, this handled object has etching object layer, covers the organic membrane and the organic mask layer of described etching object layer, this organic mask layer covers described organic membrane, be formed with patterns of openings, this container handling comprises the component parts of the exposed division that has the material that comprises Si;
In described container handling, import etching gas;
The described etching gas of plasmaization, by the patterns of openings of described organic mask layer, the described organic membrane of etching;
In described container handling, import from H 2, N 2At least a processing gas of selecting among the group who constitutes with He; With
The described processing gas of plasmaization, the described organic mask layer of plasma treatment.
9, method of plasma processing according to claim 8 is characterized in that:
Described etching gas comprises CF 4
10, method of plasma processing according to claim 8 is characterized in that:
After described plasma treatment operation, also has the etched operation of carrying out described etching object layer.
11, method of plasma processing according to claim 8 is characterized in that:
Described organic membrane is organic reflectance coating that prevents.
12, method of plasma processing according to claim 8 is characterized in that:
Described organic mask layer is the organic photoresist layer.
13, method of plasma processing according to claim 12 is characterized in that:
Described organic photoresist layer is made of ArF photoresist or F2 photoresist.
14, method of plasma processing according to claim 8 is characterized in that:
The material of the described Si of comprising is made of single crystalline Si.
15, method of plasma processing according to claim 8 is characterized in that:
The material of the described Si of comprising is made of SiC.
16, method of plasma processing according to claim 8 is characterized in that:
The described component parts that has the exposed division of the material that comprises Si is arranged on the counter electrode of the handled object in the described container handling.
17, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is configured in the container handling, this handled object has etching object layer, covers the organic membrane and the organic mask layer of described etching object layer, this organic mask layer covers described organic membrane, be formed with patterns of openings, this container handling comprises the component parts of the exposed division that has the material that comprises Si;
In described container handling, import H 2With
The H of plasma importing 2, by the patterns of openings of described organic mask layer, the described organic membrane of etching.
18, method of plasma processing according to claim 17 is characterized in that:
After the operation of the described organic membrane of etching, also has the etched operation of carrying out described etching object layer.
19, method of plasma processing according to claim 17 is characterized in that:
Described organic membrane is organic reflectance coating that prevents.
20, method of plasma processing according to claim 17 is characterized in that:
Described organic mask layer is the organic photoresist layer.
21, method of plasma processing according to claim 20 is characterized in that:
Described organic photoresist layer is made of ArF photoresist or F2 photoresist.
22, method of plasma processing according to claim 17 is characterized in that:
The material of the described Si of comprising is made of single crystalline Si.
23, method of plasma processing according to claim 17 is characterized in that:
The material of the described Si of comprising is made of SiC.
24, method of plasma processing according to claim 17 is characterized in that:
The described component parts that has the exposed division of the material that comprises Si is arranged on the counter electrode of the handled object in the described container handling.
25, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is configured in the container handling, this handled object has etching object layer, covers the organic reflector and photoresist layer of preventing of this etching object layer, this photoresist layer covers this organic reflector that prevents, is formed with patterns of openings, is made of ArF photoresist or F2 photoresist;
In this container handling, import etching gas with the material that comprises Si; With
This etching gas of plasmaization, by the patterns of openings of described photoresist layer, the organic reflector that prevents of etching.
26, method of plasma processing according to claim 25 is characterized in that:
The material of the described Si of comprising is SiF 4
27, method of plasma processing according to claim 26 is characterized in that:
Described etching gas contains CHF 3
28, method of plasma processing according to claim 26 is characterized in that:
Described etching gas contains HBr.
29, method of plasma processing according to claim 26 is characterized in that:
Described etching gas contains He.
30, method of plasma processing according to claim 26 is characterized in that:
Described etching gas contains H 2
31, method according to claim 25 is characterized in that:
After the described organic operation that prevents the reflector of etching, also has the operation of coming the described etching object layer of plasma etching by the patterns of openings of described ArF photoresist layer.
32, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is loaded on the pedestal that is arranged in container handling, and this handled object has etching object layer and covers this etching object layer, is formed with the mask layer of opening;
Having the described handled object and at least a portion on surface in described container handling is under the parts of Si, imports inert gas in described container handling;
The high-frequency energy of at least a portion of the described inert gas of ionization is provided in described container handling;
In described container handling, import etching gas;
This etching gas of plasmaization; With
In described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described mask layer.
33, method of plasma processing according to claim 32 is characterized in that:
Described mask layer is ArF photoresist layer or F2 photoresist layer.
34, method of plasma processing according to claim 32 is characterized in that:
The parts that described surperficial at least a portion is Si are to be positioned at described handled object focusing ring on every side.
35, method of plasma processing according to claim 32 is characterized in that:
The parts that described surperficial at least a portion is Si are that described etching gas is imported spray head in the described container handling.
36, method of plasma processing according to claim 32 is characterized in that:
Described etching object layer is the Si oxide, and described etching gas comprises from by C 4F 6, C 4F 8And C 5F 8Select among the group who constitutes at least a kind.
37, method of plasma processing according to claim 32 is characterized in that:
After described etching work procedure, also has the operation that the multistage plasma is removed mask layer.
38, according to the described method of plasma processing of claim 37, it is characterized in that:
The operation that described multistage plasma is removed described mask layer has: first removes operation, removes the part of mask layer with the plasma of the gas that comprises fluorine compounds; With the second removal operation, remove at least a portion of remaining mask layer in the first removal operation with the plasma of the gas that does not comprise fluorine compounds.
39, according to the described method of plasma processing of claim 38, it is characterized in that:
Described mask layer is the ArF photoresist layer, and the gas that uses in the described first removal operation is CF 4
40, method of plasma processing according to claim 32 is characterized in that:
Describedly energy is imported operation in the described container handling comprise to the antenna that is arranged on outside the described container handling and apply high frequency power.
41, method of plasma processing according to claim 32 is characterized in that:
Describedly energy is imported the counter electrode that operation in the described container handling comprises the described pedestal in being arranged on described container handling apply high frequency power.
42, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is loaded on the pedestal that is arranged in container handling, and this handled object has etching object layer and mask layer, and this mask layer covers this etching object layer, is formed with patterns of openings;
In described container handling, form Si on described mask layer surface and contain layer;
In described container handling, import etching gas;
The described etching gas of plasmaization; With
In described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described mask layer.
43, according to the described method of plasma processing of claim 42, it is characterized in that:
After the operation of described plasma etching, also has the operation that the multistage plasma is removed mask layer.
44, according to the described method of plasma processing of claim 43, it is characterized in that:
The operation that described multistage plasma is removed described mask layer has: first removes operation, removes the part of mask layer with the plasma of the gas that comprises fluorine compounds; With the second removal operation, remove at least a portion of remaining mask layer in the first removal operation with the plasma of the gas that does not comprise fluorine compounds.
45, according to the described method of plasma processing of claim 44, it is characterized in that:
Described mask layer is ArF photoresist layer or F2 photoresist layer, and the gas that uses in the described first removal operation is CF 4
46, according to the described method of plasma processing of claim 42, it is characterized in that:
Described mask layer is ArF photoresist layer or F2 photoresist layer.
47, according to the described method of plasma processing of claim 42, it is characterized in that:
Described etching object layer is the Si oxide, and described etching gas comprises from C 4F 6, C 4F 8, C 5F 8Middle at least a kind of selecting.
48, according to the described method of plasma processing of claim 42, it is characterized in that:
Implement to form the operation that described Si contains layer by the PVD method.
49, according to the described method of plasma processing of claim 42, it is characterized in that:
Implement to form the operation that described Si contains layer by the CVD method.
50, a kind of method of plasma processing is characterized in that, has following operation:
Prepare container handling, at least a portion that the surface is set in inside be parts, first electrode of Si and be positioned at this first electrode relative position on second electrode;
Load handled object on described first electrode in described container handling, this handled object has etching object layer and mask layer, and this mask layer covers this etching object layer, is formed with patterns of openings;
In described container handling, import inert gas;
Apply high frequency power to described first electrode;
Apply high frequency power to described second electrode;
Import etching gas to described container handling; With
In described container handling,, come the described etching object layer of etching by the etching gas that utilizes described high frequency power plasmaization by the patterns of openings of described mask layer.
51, according to the described method of plasma processing of claim 50, it is characterized in that:
At least a portion on described surface is that the parts of Si are the battery lead plate of described second electrode.
52, according to the described method of plasma processing of claim 50, it is characterized in that:
After the operation of described plasma etching, also has the operation that the multistage plasma is removed mask layer.
53, a kind of method of plasma processing is characterized in that, has following operation:
Handled object is loaded on the pedestal that is arranged in container handling, and this handled object has etching object layer and photoresist layer, and this photoresist layer covers this etching object layer, is formed with patterns of openings, is made of ArF photoresist or F2 photoresist;
In described container handling, import the etching gas that comprises the Si compound;
The described etching gas of plasmaization; With
In described container handling,, come the described etching object layer of etching by the plasma of described etching gas by the patterns of openings of described photoresist layer.
54, according to the described method of plasma processing of claim 53, it is characterized in that:
Described Si compound is SiF 4
CNB2007101402933A 2002-06-27 2003-06-24 Method of plasma processing Expired - Fee Related CN100541720C (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2002187422 2002-06-27
JP2002187422 2002-06-27
JP2002214628 2002-07-24
JP2002271589 2002-09-18
JP2002271588 2002-09-18
US60/420,788 2002-10-24
US60/423,566 2002-11-05
JP2003003540 2003-01-09
JP2003110225 2003-04-15
JP2003151416 2003-05-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB03815028XA Division CN100440449C (en) 2002-06-27 2003-06-24 Plasma processing method

Publications (2)

Publication Number Publication Date
CN101093796A true CN101093796A (en) 2007-12-26
CN100541720C CN100541720C (en) 2009-09-16

Family

ID=38991947

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2007101402933A Expired - Fee Related CN100541720C (en) 2002-06-27 2003-06-24 Method of plasma processing
CN200710140294.8A Expired - Fee Related CN101154569B (en) 2002-06-27 2003-06-24 Plasma processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200710140294.8A Expired - Fee Related CN101154569B (en) 2002-06-27 2003-06-24 Plasma processing method

Country Status (1)

Country Link
CN (2) CN100541720C (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102282099A (en) * 2009-03-26 2011-12-14 琳得科株式会社 Method of forming metal oxide film, and metal oxide film
CN104471686A (en) * 2012-08-09 2015-03-25 东京毅力科创株式会社 Plasma etching method and plasma etching apparatus
CN104979189A (en) * 2015-06-29 2015-10-14 北京工业大学 Plasma etching method for preparing regular patterns in adjustment and control manner based on substrate crystal orientation
CN105097489A (en) * 2014-05-15 2015-11-25 东京毅力科创株式会社 Plasma etching method and plasma etching apparatus
CN107180787A (en) * 2016-03-11 2017-09-19 松下知识产权经营株式会社 Element chip and its manufacture method
CN110246760A (en) * 2015-04-22 2019-09-17 东京毅力科创株式会社 Engraving method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010041028A (en) * 2008-07-11 2010-02-18 Tokyo Electron Ltd Substrate processing method
JP5107842B2 (en) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 Substrate processing method
US20120305525A1 (en) * 2011-05-31 2012-12-06 Hsiu-Chun Lee Method of reducing striation on a sidewall of a recess

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09306893A (en) * 1996-05-15 1997-11-28 Tokyo Ohka Kogyo Co Ltd Method of removing antireflective film
KR100203905B1 (en) * 1996-06-27 1999-06-15 김영환 Method for forming multi-layer metal interconnection
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
JP3003657B2 (en) * 1997-12-24 2000-01-31 日本電気株式会社 Method for manufacturing semiconductor device

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102282099A (en) * 2009-03-26 2011-12-14 琳得科株式会社 Method of forming metal oxide film, and metal oxide film
US8809201B2 (en) 2009-03-26 2014-08-19 Lintec Corporation Method of forming metal oxide film and metal oxide film
CN104471686A (en) * 2012-08-09 2015-03-25 东京毅力科创株式会社 Plasma etching method and plasma etching apparatus
CN104471686B (en) * 2012-08-09 2017-03-22 东京毅力科创株式会社 Plasma etching method and plasma etching apparatus
CN105097489A (en) * 2014-05-15 2015-11-25 东京毅力科创株式会社 Plasma etching method and plasma etching apparatus
CN105097489B (en) * 2014-05-15 2018-02-13 东京毅力科创株式会社 Plasma-etching method and plasma-etching apparatus
CN110246760A (en) * 2015-04-22 2019-09-17 东京毅力科创株式会社 Engraving method
CN110246760B (en) * 2015-04-22 2023-02-17 东京毅力科创株式会社 Etching method
CN104979189A (en) * 2015-06-29 2015-10-14 北京工业大学 Plasma etching method for preparing regular patterns in adjustment and control manner based on substrate crystal orientation
CN104979189B (en) * 2015-06-29 2017-12-08 北京工业大学 A kind of method for etching plasma that regular figure is prepared based on substrate crystal orientation regulation and control
CN107180787A (en) * 2016-03-11 2017-09-19 松下知识产权经营株式会社 Element chip and its manufacture method
CN107180787B (en) * 2016-03-11 2021-12-24 松下知识产权经营株式会社 Component chip and method for manufacturing the same

Also Published As

Publication number Publication date
CN101154569A (en) 2008-04-02
CN101154569B (en) 2014-05-14
CN100541720C (en) 2009-09-16

Similar Documents

Publication Publication Date Title
CN100440449C (en) Plasma processing method
US7473377B2 (en) Plasma processing method
US6083844A (en) Techniques for etching an oxide layer
US6326307B1 (en) Plasma pretreatment of photoresist in an oxide etch process
US6518206B1 (en) Method for etching an anti-reflective coating
US7064078B2 (en) Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
CN1524287B (en) Unique process chemistry for etching organic low-K materials
JP3574680B2 (en) Plasma etching using xenon
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
TW589681B (en) Etching method and apparatus
US6686293B2 (en) Method of etching a trench in a silicon-containing dielectric material
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
JP2001526463A (en) System and method for etching an organic anti-reflective coating from a substrate
JP2010041028A (en) Substrate processing method
CN100541720C (en) Method of plasma processing
CN101290481A (en) Etching process for controlling characteristic size shrinkage
US11244828B2 (en) Method for processing workpiece
US10714340B2 (en) Method for processing workpiece
US5846443A (en) Methods and apparatus for etching semiconductor wafers and layers thereof
US5952244A (en) Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
CN100367469C (en) Method of plasma etching
US6209551B1 (en) Methods and compositions for post-etch layer stack treatment in semiconductor fabrication
US9280051B2 (en) Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
JPWO2004003988A1 (en) Plasma processing method
Kim et al. Dry etching of TiN in N2/Cl2/Ar adaptively coupled plasma

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090916

Termination date: 20210624