WO2024081174A1 - Oxymethylene copolymers for transient surface protection during chemical vapor deposition - Google Patents

Oxymethylene copolymers for transient surface protection during chemical vapor deposition Download PDF

Info

Publication number
WO2024081174A1
WO2024081174A1 PCT/US2023/034707 US2023034707W WO2024081174A1 WO 2024081174 A1 WO2024081174 A1 WO 2024081174A1 US 2023034707 W US2023034707 W US 2023034707W WO 2024081174 A1 WO2024081174 A1 WO 2024081174A1
Authority
WO
WIPO (PCT)
Prior art keywords
optionally substituted
oxymethylene
substrate
srp
semiconductor substrate
Prior art date
Application number
PCT/US2023/034707
Other languages
French (fr)
Inventor
Zhengtao CHEN
Gregory BLACHUT
Oluwadamilola Sanyaolu PHILLIPS
Diane Hymes
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Publication of WO2024081174A1 publication Critical patent/WO2024081174A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2/00Addition polymers of aldehydes or cyclic oligomers thereof or of ketones; Addition copolymers thereof with less than 50 molar percent of other substances
    • C08G2/18Copolymerisation of aldehydes or ketones
    • C08G2/20Copolymerisation of aldehydes or ketones with other aldehydes or ketones
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L59/00Compositions of polyacetals; Compositions of derivatives of polyacetals
    • C08L59/04Copolyoxymethylenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The present disclosure relates to methods for protecting semiconductor substrate surfaces by coating the surfaces with a stimulus responsive polymer layer, the stimulus responsive polymer layer composed of copolymers with oxymethylene-containing backbones and hydrophobicity enhancing and/or crystallinity reducing substituents.

Description

10934-1WO_LAMRP855WO OXYMETHYLENE COPOLYMERS FOR TRANSIENT SURFACE PROTECTION DURING CHEMICAL VAPOR DEPOSITION INCORPORATION BY REFERENCE [0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes. FIELD [0002] The present disclosure relates to substrate processing, and more particularly to systems and methods for adding a sacrificial protective layer or layers to temporarily shield environmentally sensitive surfaces of substrates during transfer or while stored. Subsequently, the sacrificial protective layer may be readily removed when required for further substrate processing. BACKGROUND [0003] Semiconductor processing systems may be used to perform treatments on substrates such as semiconductor wafers. During processing, it is necessary at times for substrates to be transported to and from a variety processing tools for different treatments. During such transfers and/or storage, surfaces of the substrates may be exposed to contaminants such as etch residues, ambient air, light or moisture if unprotected. The surfaces of the substrates may be sensitive to airborne molecular contaminants (AMCs) such as oxygen, water or other reactants in the surrounding environment. Queue-time can lead to exposure to the AMCs and unwanted interactions, such as oxidation, corrosion, and halogenation. Such exposure during transfer or storage may cause defects, material modifications and/or otherwise adversely impact a downstream process. [0004] Solutions for avoiding undesirable exposure include storing partially fabricated semiconductor substrates in nitrogen (N2)-filled storage cassettes. To avoid exposure during transfers, front opening unified pods (FOUPs) or integrated tools that support multiple processes (cluster tools) without breaking the vacuum on the substrates may be utilized. However, these solutions are difficult, unreliable, costly to implement, and can pose safety 10934-1WO_LAMRP855WO concerns. Some coatings may be utilized as a physical barrier to exposure such as silicon nitride, which can provide hermetic encapsulation, though it requires aggressive chemicals for removal. There is therefore a need for a protective substrate surface cover layer which is convenient to both apply and remove under mild conditions. [0005] The background description provided herein is for the purpose of generally presenting the context of the present technology. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present technology. SUMMARY [0006] The present disclosure relates to methods for protecting semiconductor substrate surfaces by coating the surfaces with a stimulus responsive polymer (SRP) layer, the stimulus responsive polymer layer composed of at least one polymer having an oxymethylene- containing backbone. The SRPs may be oxymethylene-containing copolymers including oxymethylene-containing terpolymers or mixtures of oxymethylene-containing polymers. In some embodiments, the performance of the oxymethylene polymers is optimized by the utilization of substituents to confer hydrophobicity such as halo-containing substituents; and/or by utilization of substituents to disrupt the crystallinity of the SRP such as hindered or long- chain substituents. [0007] Accordingly, in a first aspect, the present invention encompasses a method for protecting a surface of a semiconductor substrate. In some embodiments, the method includes applying an oxymethylene copolymer to a semiconductor substrate in a processing chamber; and forming a protective oxymethylene copolymer layer on the surface of the semiconductor substrate; wherein the oxymethylene copolymer has a first structure of formula
Figure imgf000004_0001
H (I), and at least one second structure of formula 10934-1WO_LAMRP855WO R1
Figure imgf000005_0001
wherein and are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens; n is an integer from 10 to 1,000,000; and m is an integer of from 10 to 1,000,000. [0008] In some embodiments, the optionally substituted alkyl is substituted branched chain alkyl, unsubstituted branched chain alkyl, substituted cyclyl or unsubstituted cyclyl. [0009] In some embodiments, the substituted branched chain alkyl is a halo-substituted branched chain alkyl. [0010] In some embodiments, the substituted cyclyl is a halo-substituted cyclyl. [0011] In some embodiments, an optionally substituted aryl is substituted phenyl, unsubstituted phenyl, substituted benzyl or unsubstituted benzyl. [0012] In some embodiments, the substituted benzyl is a halo-substituted benzyl. [0013] In some embodiments, applying includes supplying monomers to the processing chamber to form the protective oxymethylene copolymer layer on the surface of the semiconductor substrate in situ by vapor deposition. [0014] In some embodiments, the monomers include formaldehyde, trioxane, isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, 3- methylcyclohexanecarbaldehyde, 2-methylbutyraldehyde, hydrocinnamaldehyde, p- tolualdehyde, benzaldehyde, retinaldehyde, vanillin, cinnamaldehyde or a combination thereof. [0015] In some embodiments, the monomers include pentafluoropropionaldehyde, 2- (trifluoromethyl) propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, fluorobenzaldehyde or a combination thereof. [0016] In some embodiments, the oxymethylene copolymer is an oxymethylene copolymer having one second structure of formula (II). [0017] In some embodiments, the oxymethylene copolymer is a copolymer of (i) 10934-1WO_LAMRP855WO formaldehyde and (ii) isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde. [0018] In some embodiments, the oxymethylene copolymer is a copolymer of (i) formaldehyde and (ii) pentafluoropropionaldehyde, 2-(trifluoromethyl)propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, or fluorobenzaldehyde. [0019] In some embodiments, the protective oxymethylene copolymer layer includes a protective film on a top surface or a bottom surface of the semiconductor substrate. [0020] In some embodiments, the semiconductor substrate has a trench or a gap. [0021] In some embodiments, forming the protective oxymethylene copolymer layer includes filling the trench or the gap with the protective oxymethylene copolymer layer. [0022] In some embodiments, the method also includes removal of the protective oxymethylene copolymer layer from the semiconductor substrate after forming the protective oxymethylene copolymer layer. [0023] In some embodiments, the removal includes exposing the semiconductor substrate to a stimulus to remove the protective oxymethylene copolymer layer. [0024] In some embodiments, the stimulus is heat, ultraviolet light, metastable neutrals, acidic/basic chemistries, plasma or a combination thereof. [0025] In some embodiments, the protective oxymethylene copolymer layer has a thickness in a range of from about 5 nm to 5000 nm. [0026] In some embodiments, the protective oxymethylene copolymer layer has a thickness in a range of from about 100 nm to 1000 nm. [0027] In some embodiments, the method also includes performing a post-process on the protective oxymethylene copolymer layer. [0028] In some embodiments, the post-process includes exposure to a solvent, annealing, soft baking or a combination thereof. [0029] In a second aspect, the present disclosure encompasses a method for protecting a surface of a semiconductor substrate. In some embodiments, the method includes applying an oxymethylene terpolymer to a semiconductor substrate in a processing chamber; and forming a protective oxymethylene terpolymer layer on the surface of the semiconductor substrate; wherein the oxymethylene terpolymer includes a first structure of formula 10934-1WO_LAMRP855WO H
Figure imgf000007_0001
a second structure of formula R1
Figure imgf000007_0002
(II); and a third structure of formula
Figure imgf000007_0003
wherein R1 and R2 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens; wherein R3 and R4 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R3 and R4 may be linked to form a ring, with a proviso that R3 and R4 are not both hydrogens; n is an integer from 10 to 1,000,000; m is an integer of from 10 to 1,000,000; and p is an integer from 10 to 1,000,000. [0030] In some embodiments, the oxymethylene terpolymer comprises a terpolymer of (i) formaldehyde; (ii) isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde; and (iii) pentafluoropropionaldehyde, 2-(trifluoromethyl)propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, or fluorobenzaldehyde. [0031] In some embodiments, an optionally substituted alkyl is substituted branched chain alkyl, unsubstituted branched chain alkyl, substituted cyclyl or unsubstituted cyclyl. 10934-1WO_LAMRP855WO [0032] In some embodiments, a substituted branched chain alkyl is a halo-substituted branched chain alkyl. [0033] In some embodiments, the substituted cyclyl is a halo-substituted cyclyl. [0034] In some embodiments, the optionally substituted aryl is substituted phenyl, unsubstituted phenyl, substituted benzyl or unsubstituted benzyl. [0035] In some embodiments, the substituted benzyl is a halo-substituted benzyl. [0036] In some embodiments, applying includes supplying monomers to the processing chamber to form the protective oxymethylene terpolymer layer on the surface of the semiconductor substrate in situ by vapor deposition. [0037] In some embodiments, the monomers are formaldehyde, trioxane, isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, 3-methylcyclohexanecarbaldehyde, 2- methylbutyraldehyde, hydrocinnamaldehyde, p-tolualdehyde, benzaldehyde, retinaldehyde, vanillin, cinnamaldehyde or a combination thereof. [0038] In some embodiments, the monomers are pentafluoropropionaldehyde, 2- (trifluoromethyl) propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, fluorobenzaldehyde or a combination thereof. [0039] In some embodiments, the protective oxymethylene terpolymer layer includes a protective film on a top surface or a bottom surface of the semiconductor substrate. [0040] In some embodiments, the semiconductor substrate has a trench or a gap. [0041] In some embodiments, forming the protective oxymethylene terpolymer layer includes filling the trench or the gap with the protective oxymethylene terpolymer layer. [0042] In some embodiments, the method also includes removal of the protective oxymethylene terpolymer layer from the semiconductor substrate after forming the protective oxymethylene terpolymer layer. [0043] In some embodiments, the removal includes exposing the semiconductor substrate to a stimulus to remove the protective oxymethylene terpolymer layer. [0044] In some embodiments, the stimulus includes heat, ultraviolet light, metastable neutrals, acidic/basic chemistries, plasma or a combination thereof. [0045] In some embodiments, the protective oxymethylene terpolymer layer has a thickness in a range of from about 5 nm to 5000 nm. 10934-1WO_LAMRP855WO [0046] In some embodiments, the protective oxymethylene terpolymer layer has a thickness in a range of from about 100 nm to 1000 nm. [0047] In some embodiments, the method also includes performing a post-process on the protective oxymethylene terpolymer layer. [0048] In some embodiments, the post-process includes exposure to a solvent, annealing, soft baking or a combination thereof. [0049] In a third aspect, the present disclosure encompasses a method for protecting a surface of a semiconductor substrate. In some embodiments, the method includes applying at least two different oxymethylene polymers to a semiconductor substrate in a processing chamber; and forming a multi-layer protective film on the surface of the semiconductor substrate from layers of oxymethylene polymers; wherein the at least two different oxymethylene polymers include oxymethylene copolymers or oxymethylene terpolymers and combinations thereof. [0050] In some embodiments, the at least two different oxymethylene polymers are pre- blended before applying the at least two different oxymethylene polymers. [0051] In some embodiments, the at least two different oxymethylene polymers are applied sequentially. [0052] In some embodiments, the at least two different oxymethylene polymers are an oxymethylene copolymer and an oxymethylene terpolymer. [0053] In some embodiments, the multi-layer protective film includes a protective film on a top surface or a bottom surface of the semiconductor substrate. [0054] In some embodiments, the semiconductor substrate includes a trench or a gap. [0055] In some embodiments, forming the multi-layer protective film includes filling the trench or the gap with the multi-layer protective film. [0056] In some embodiments, the method also includes removal of the multi-layer protective film from the semiconductor substrate after forming the multi-layer protective film. [0057] In some embodiments, the removal includes exposing the semiconductor substrate to a stimulus to remove the multi-layer protective film. [0058] In some embodiments, the stimulus includes heat, ultraviolet light, metastable neutrals, acidic/basic chemistries, plasma or a combination thereof. 10934-1WO_LAMRP855WO [0059] In some embodiments, the multi-layer protective film has a thickness in a range of from about 5 nm to 5000 nm. [0060] In some embodiments, the multi-layer protective film has a thickness in a range of from about 100 nm to 1000 nm. [0061] In some embodiments, the method also includes performing a post-process on the multi-layer protective film. [0062] In some embodiments, the post-process includes exposure to a solvent, annealing, soft baking or a combination thereof. [0063] In a fourth aspect, the present disclosure encompasses a formulation. In some embodiments, the formulation includes about 0.1 wt.% to about 50 wt.% of an oxymethylene polymer, wherein the oxymethylene polymer includes a first structure of formula
Figure imgf000010_0001
and at least one second structure of formula R1 C O m R2 (II); wherein R1 and R2 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens; n is an integer from 10 to 1,000,000; and m is an integer of from 10 to 1,000,000; and wherein the oxymethylene polymer comprises a molecular weight of from about 250 g/mol to about 1500 kg/mol and a ceiling temperature less than about 400 ºC; and a solvent. [0064] In some embodiments, the formulation also includes about 0.001 wt.% to about 25 wt.% of an additive comprising a plasticizer, an organic acid having a pKa more than or equal to 1 and less than 7, a photoacid generator, a thermal acid generator, a dye or a combination thereof. 10934-1WO_LAMRP855WO [0065] In a fifth aspect, the present disclosure encompasses a processing tool. In some embodiments, the process tool includes one or more semiconductor substrate processing chambers connected under vacuum; and a controller comprising instructions for forming a protective layer on a surface of a semiconductor substrate, wherein the protective layer is a layer comprising at least one oxymethylene copolymer or oxymethylene terpolymer. Definitions [0066] As used herein, the term “about” is understood to account for minor increases and/or decreases beyond a recited value, which changes do not significantly impact the desired function of the parameter beyond the recited value(s). In some cases, “about” encompasses +/- 10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges. [0067] By “alkenyl” is meant an optionally substituted C2-24 alkyl group having one or more double bonds. The alkenyl group can be cyclic (e.g., C3-24 cycloalkenyl) or acyclic. The alkenyl group can also be substituted or unsubstituted. For example, the alkenyl group can be substituted with one or more substitution groups, as described herein for alkyl. [0068] By “alkoxy” is meant -OR, where R is an optionally substituted alkyl group, as described herein. Exemplary alkoxy groups include methoxy, ethoxy, butoxy, trihaloalkoxy, such as trifluoromethoxy, etc. The alkoxy group can be substituted or unsubstituted. For example, the alkoxy group can be substituted with one or more substitution groups, as described herein for alkyl. Exemplary unsubstituted alkoxy groups include C1-3, C1-6, C1-12, C1- 16, C1-18, C1-20, or C1-24 alkoxy groups. [0069] By “alkyl” and the prefix “alk” is meant a branched or unbranched saturated hydrocarbon group of 1 to 24 carbon atoms, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, s-butyl, t-butyl, n-pentyl, isopentyl, s-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl, and the like. The alkyl group can be cyclic (e.g., C3-24 cycloalkyl) or acyclic. The alkyl group can be branched or unbranched. The alkyl group can also be substituted or unsubstituted. For example, the alkyl group can be substituted with one, two, three or, in the case of alkyl groups of two carbons or more, four substituents independently selected from the group consisting of: (1) C1-6 alkoxy (e.g., -O-Ak, wherein Ak is optionally substituted C1-6 alkyl); (2) C1-6 alkylsulfinyl (e.g., -S(O)-Ak, wherein Ak is optionally substituted C1-6 alkyl); (3) C1-6 alkylsulfonyl (e.g., -SO2-Ak, wherein Ak is 10934-1WO_LAMRP855WO optionally substituted C1-6 alkyl); (4) amino (e.g., -NRN1RN2, where each of RN1 and RN2 is, independently, H or optionally substituted alkyl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group); (5) aryl; (6) arylalkoxy (e.g., -O-L-Ar, wherein L is a bivalent form of optionally substituted alkyl and Ar is optionally substituted aryl); (7) aryloyl (e.g., -C(O)-Ar, wherein Ar is optionally substituted aryl); (8) azido (e.g., -N3); (9) cyano (e.g., -CN); (10) carboxyaldehyde (e.g., -C(O)H); (11) C3-8 cycloalkyl (e.g., a monovalent saturated or unsaturated non-aromatic cyclic C3-8 hydrocarbon group); (12) halo (e.g., F, Cl, Br, or I); (13) heterocyclyl (e.g., a 5-, 6- or 7-membered ring, unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms, such as nitrogen, oxygen, phosphorous, sulfur, or halo); (14) heterocyclyloxy (e.g., -O-Het, wherein Het is heterocyclyl, as described herein); (15) heterocyclyloyl (e.g., -C(O)-Het, wherein Het is heterocyclyl, as described herein); (16) hydroxyl (e.g., -OH); (17) N-protected amino; (18) nitro (e.g., -NO2); (19) oxo (e.g., =O); (20) C3-8 spirocyclyl (e.g., an alkylene or heteroalkylene diradical, both ends of which are bonded to the same carbon atom of the parent group); (21) C1-6 thioalkoxy (e.g., -S-Ak, wherein Ak is optionally substituted C1-6 alkyl); (22) thiol (e.g., - SH); (23) -CO2RA, where RA is selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) (C4-18 aryl) C1-6 alkyl (e.g., -L-Ar, wherein L is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); (24) -C(O)NRBRC, where each of RB and RC is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) (C4-18 aryl) C1-6 alkyl (e.g., -L-Ar, wherein L is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); (25) -SO2RD, where RD is selected from the group consisting of (a) C1-6 alkyl, (b) C4-18 aryl, and (c) (C4-18 aryl) C1-6 alkyl (e.g., -L-Ar, wherein L is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); (26) -SO2NRERF, where each of RE and RF is, independently, selected from the group consisting of (a) hydrogen, (b) C1-6 alkyl, (c) C4-18 aryl, and (d) (C4-18 aryl) C1-6 alkyl (e.g., -L-Ar, wherein L is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl); and (27) -NRGRH, where each of RG and RH is, independently, selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (c) C1-6 alkyl, (d) C2-6 alkenyl (e.g., optionally substituted alkyl having one or more double bonds), (e) C2-6 alkynyl (e.g., optionally substituted alkyl having one or more triple bonds), (f) C4-18 aryl, (g) (C4-18 aryl) C1-6 alkyl (e.g., L-Ar, wherein L is a bivalent form of optionally substituted alkyl group and Ar is optionally substituted aryl), (h) C3-8 cycloalkyl, and (i) (C3-8 cycloalkyl) C1-6 alkyl (e.g., -L-Cy, wherein L is a bivalent form of optionally substituted alkyl group and Cy is optionally substituted cycloalkyl, as described herein), 10934-1WO_LAMRP855WO wherein in one embodiment no two groups are bound to the nitrogen atom through a carbonyl group or a sulfonyl group. The alkyl group can be a primary, secondary, or tertiary alkyl group substituted with one or more substituents (e.g., one or more halo or alkoxy). In some embodiments, the unsubstituted alkyl group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C6-20, C8-18 or C1-24 alkyl group. [0070] By “alkylene” is meant a multivalent (e.g., bivalent) form of an alkyl group, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, etc. In some embodiments, the alkylene group is a C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C 1-24 , C 2-3 , C 2-6 , C 2-12 , C 2-16 , C 2-18 , C 2-20 , or C 2-24 alkylene group. The alkylene group can be branched or unbranched. The alkylene group can also be substituted or unsubstituted. For example, the alkylene group can be substituted with one or more substitution groups, as described herein for alkyl. [0071] By “alkynyl” is meant an optionally substituted C2-24 alkyl group having one or more triple bonds. The alkynyl group can be cyclic or acyclic and is exemplified by ethynyl, 1-propynyl, and the like. The alkynyl group can also be substituted or unsubstituted. For example, the alkynyl group can be substituted with one or more substitution groups, as described herein for alkyl. [0072] By “amino” is meant -NRN1RN2, where each of RN1 and RN2 is, independently, H, optionally substituted alkyl, or optionally substituted aryl, or RN1 and RN2, taken together with the nitrogen atom to which each are attached, form a heterocyclyl group, as defined herein. [0073] By “aminoalkyl” is meant an alkyl group, as defined herein, substituted by an amino group, as defined herein. [0074] By “aralkyl” or “arylalkyl” is meant an aryl group, as defined herein, attached to the parent molecular group through an alkylene group, as defined herein. In some embodiments, the aralkyl group is -Ak-Ar, in which Ak is an optionally substituted alkylene, as defined herein, and Ar is an optionally substituted aryl, as defined herein. The aralkyl group can be substituted or unsubstituted. For example, the aralkyl group can be substituted with one or more substitution groups, as described herein for aryl and/or alkyl. Exemplary unsubstituted aralkyl groups are of from 7 to 16 carbons (C7-16 aralkyl), as well as those having an aryl group with 4 to 18 carbons and an alkylene group with 1 to 6 carbons (i.e., (C4-18 aryl)C1-6 alkyl). [0075] By “aryl” is meant a group that contains any carbon-based aromatic group including, but not limited to, phenyl, benzyl, anthracenyl, anthryl, benzocyclobutenyl, 10934-1WO_LAMRP855WO benzocyclooctenyl, biphenylyl, chrysenyl, dihydroindenyl, fluoranthenyl, indacenyl, indenyl, naphthyl, phenanthryl, phenoxybenzyl, picenyl, pyrenyl, terphenyl, and the like, including fused benzo-C4-8 cycloalkyl radicals (e.g., as defined herein) such as, for instance, indanyl, tetrahydronaphthyl, fluorenyl, and the like. The term aryl also includes “heteroaryl,” which is defined as a group that contains an aromatic group that has at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Likewise, the term non-heteroaryl, which is also included in the term aryl, defines a group that contains an aromatic group that does not contain a heteroatom. The aryl group can be substituted or unsubstituted. The aryl group can be substituted with one, two, three, four, or five substituents, such as any described herein for alkyl. In particular embodiments, an unsubstituted aryl group is a C4-18, C4-14, C4-12, C4-10, C6- 18, or C6-10 aryl group. [0076] By “arylene” is meant a multivalent (e.g., bivalent) form of an aryl group, as described herein. Exemplary arylene groups include phenylene, naphthylene, biphenylene, triphenylene, diphenyl ether, acenaphthenylene, anthrylene, or phenanthrylene. In some embodiments, the arylene group is a C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, or C6-10 arylene group. The arylene group can be branched or unbranched. The arylene group can also be substituted or unsubstituted. For example, the arylene group can be substituted with one or more substitution groups, as described herein for aryl. [0077] By “(aryl)(alkyl)ene” is meant a bivalent form including an arylene group, as described herein, attached to an alkylene or a heteroalkylene group, as described herein. In some embodiments, the (aryl)(alkyl)ene group is -L-Ar- or -L-Ar-L- or -Ar-L-, in which Ar is an arylene group and each L is, independently, an optionally substituted alkylene group or an optionally substituted heteroalkylene group. [0078] By “atomic layer deposition” (ALD) is meant a vapor deposition process in which deposition cycles, preferably a plurality of consecutive deposition cycles, are conducted in a process chamber (i.e. a deposition chamber). Typically during each cycle, the precursor is chemisorbed to a deposition surface (i.e. a substrate assembly surface or a previously deposited underlying surface such as material from a previous ALD cycle) forming a monolayer or sub- monolayer that does not readily react with additional precursor (i.e. a self-limiting reaction). Thereafter, if necessary, a reactant (i.e. another precursor or reaction gas) may be introduced into the process chamber for use in converting the chemisorbed precursor to the desired material on the deposition surface. Typically, this reactant is capable of reaction with the 10934-1WO_LAMRP855WO already chemisorbed precursor. Further, purging steps may also be utilized during each cycle to remove excess precursor from the process chamber and /or remove excess reactant and/or reaction by-products from the process chamber after conversion of the chemisorbed precursor. [0079] By “azido” is meant an -N3 group. [0080] By “azidoalkyl” is meant an azido group attached to the parent molecular group through an alkyl group, as defined herein. [0081] By “carboxyaldehyde” is meant a -C(O)H group. [0082] By “carboxyalkyl” is meant an alkyl group, as defined herein, substituted by a carboxyl group, as defined herein. [0083] By “carboxyl” is meant a -CO2H group. [0084] By “ceiling temperature” or “Tc” is the temperature at which a polymer and its polymers are present at equilibrium. Below Tc, the polymer is present, and above Tc, the polymer’s constituent monomers are present. [0085] By “copolymer” is meant a polymer synthesized from two or more different monomers. [0086] By “cyano” is meant a -CN group. [0087] By “cycloalkenyl” is meant a non-aromatic carbon-based ring composed of three to ten carbon atoms and containing at least one double bound, i.e., C=C. Examples of cycloalkenyl groups include, but are not limited to, cyclopropenyl, cyclobutenyl, cyclopentenyl, cyclopentadienyl, cyclohexenyl, cyclohexadienyl, and the like. [0088] By “cycloalkyl” is meant a monovalent saturated or unsaturated non-aromatic cyclic hydrocarbon group of from three to eight carbons, unless otherwise specified, and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, bicyclo[2.2.1.]heptyl, and the like. The cycloalkyl group can also be substituted or unsubstituted. For example, the cycloalkyl group can be substituted with one or more groups including those described herein for alkyl. [0089] By “cycloalkylene” is meant a multivalent (e.g., bivalent) form of a cycloalkyl group, as described herein. Exemplary cycloalkylene groups include cyclopropylene, cyclobutylene, cyclopentylene, cyclohexylene, cyclohexenylene, cyclohexadienylene, etc. In some embodiments, the cycloalkylene group is a C3-6, C3-12, C3-16, C3-18, C3-20, or C3-24 10934-1WO_LAMRP855WO cycloalkylene group. The cycloalkylene group can be branched or unbranched. The cycloalkylene group can also be substituted or unsubstituted. For example, the cycloalkylene group can be substituted with one or more substitution groups, as described herein for alkyl. [0090] By “deposition” or “vapor deposition” is meant a process in which a metal layer is formed on one or more surfaces of a substrate from vaporized precursor composition(s) including one or more metal-containing compounds. The metal-containing compounds are vaporized and directed to and/or contacted with one or more surfaces of a substrate (i.e. semiconductor substrate or semiconductor assembly) placed in a deposition chamber. Typically, the substrate is heated. These metal-containing compounds form a non-volatile, thin, uniform metal-containing layer on the surface(s) of the substrate. One operation of the method is one cycle, and the process can be repeated for as many cycles as necessary to obtain the desired metal thickness. [0091] By “fluoroacid” is meant A1CO2H, where A1 is an optionally substituted alkyl or an optionally substituted aryl substituted with one or more fluoro (F). [0092] By “ester” as used herein is meant -OC(O)A1 or -C(O)OA1, where A1 can be an alkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or heterocycloalkenyl group, as described herein. [0093] By “ether” as used herein is meant A1OA2, where A1 and A2 can be, independently, an alkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or heterocycloalkenyl group, as described herein. [0094] By “halo” is meant F, Cl, Br, or I. [0095] By “haloalkyl” is meant an alkyl group, as defined herein, substituted with one or more halo. [0096] By “heteroalkyl” is meant an alkyl group, as defined herein, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). [0097] By “heteroalkylene” is meant an alkylene group, as defined herein, containing one, two, three, four, or more non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). In some embodiments, the heteroalkylene group is -Ak-X-, -X-Ak-, -(Ak-X)h1-Ak-, or -X-(Ak-X)h1-, in which Ak is an optionally substituted alkylene, as defined herein, X is or includes a non-carbon heteroatom 10934-1WO_LAMRP855WO (e.g., -O-, -S-, or -NRN1-, which RN1 is H, optionally alkyl, or optionally substituted aryl), and h1 is an integer from 1 to 5. The heteroalkylene group can be substituted or unsubstituted. For example, the heteroalkylene group can be substituted with one or more substitution groups, as described herein for alkyl. The heteroalkylene group can be linear or cyclic, such as a bivalent form of a heterocyclyl group formed by removing a hydrogen from a heterocyclyl group, as described herein. Exemplary cyclic heteroalkylene groups include piperdylidene, quinolinediyl, etc. [0098] The term “heterocycloalkenyl” is a type of cycloalkenyl group, as defined herein, in which at least one of the carbon atoms of the ring is substituted with O, S, N, or NH. The cycloalkenyl group and heterocycloalkenyl group can be substituted or unsubstituted. The cycloalkenyl group and heterocycloalkenyl group can be substituted with one or more groups including, but not limited to, alkyl, alkoxy, alkenyl, alkynyl, aryl, heteroaryl, carboxyaldehyde, amino, carboxyl, sulfonic acid, sulfinic acid, fluoroacid, phosphonic acid, ester, ether, halo, hydroxyl, ketone, nitro, cyano, azido, silyl, sulfonyl, sulfinyl, or thiol, as described herein. [0099] The term “heterocycloalkyl” is a type of cycloalkyl group, as defined herein, in which at least one of the carbon atoms and its attached hydrogen atoms, if any, are replaced by O, S, N, or NH. The heterocycloalkyl group and heterocycloalkenyl group can be substituted or unsubstituted. The cycloalkyl group and heterocycloalkyl group can be substituted with one or more groups including, but not limited to, alkyl, alkoxy, alkenyl, alkynyl, aryl, heteroaryl, carboxyaldehyde, amino, carboxyl, sulfonic acid, sulfinic acid, fluoroacid, phosphonic acid, ester, ether, halo, hydroxyl, ketone, nitro, cyano, azido, silyl, sulfonyl, sulfinyl, or thiol, as described herein. [0100] By “heterocyclyl” is meant a 3-, 4-, 5-, 6- or 7-membered ring (e.g., a 5-, 6- or 7- membered ring), unless otherwise specified, containing one, two, three, or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorous, sulfur, selenium, or halo). The 3-membered ring has zero to one double bonds, the 4- and 5-membered ring has zero to two double bonds, and the 6- and 7-membered rings have zero to three double bonds. The term “heterocyclyl” also includes bicyclic, tricyclic and tetracyclic groups in which any of the above heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aryl ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl, quinolyl, isoquinolyl, tetrahydroquinolyl, benzofuryl, benzothienyl and the like. Heterocyclics include acridinyl, adenyl, alloxazinyl, 10934-1WO_LAMRP855WO azaadamantanyl, azabenzimidazolyl, azabicyclononyl, azacycloheptyl, azacyclooctyl, azacyclononyl, azahypoxanthinyl, azaindazolyl, azaindolyl, azecinyl, azepanyl, azepinyl, azetidinyl, azetyl, aziridinyl, azirinyl, azocanyl, azocinyl, azonanyl, benzimidazolyl, benzisothiazolyl, benzisoxazolyl, benzodiazepinyl, benzodiazocinyl, benzodihydrofuryl, benzodioxepinyl, benzodioxinyl, benzodioxanyl, benzodioxocinyl, benzodioxolyl, benzodithiepinyl, benzodithiinyl, benzodioxocinyl, benzofuranyl, benzophenazinyl, benzopyranonyl, benzopyranyl, benzopyrenyl, benzopyronyl, benzoquinolinyl, benzoquinolizinyl, benzothiadiazepinyl, benzothiadiazolyl, benzothiazepinyl, benzothiazocinyl, benzothiazolyl, benzothienyl, benzothiophenyl, benzothiazinonyl, benzothiazinyl, benzothiopyranyl, benzothiopyronyl, benzotriazepinyl, benzotriazinonyl, benzotriazinyl, benzotriazolyl, benzoxathiinyl, benzotrioxepinyl, benzoxadiazepinyl, benzoxathiazepinyl, benzoxathiepinyl, benzoxathiocinyl, benzoxazepinyl, benzoxazinyl, benzoxazocinyl, benzoxazolinonyl, benzoxazolinyl, benzoxazolyl, benzylsultamyl benzylsultimyl, bipyrazinyl, bipyridinyl, carbazolyl (e.g., 4H-carbazolyl), caUEROLQ\O^^H^J^^^ȕ- carbolinyl), chromanonyl, chromanyl, chromenyl, cinnolinyl, coumarinyl, cytdinyl, cytosinyl, decahydroisoquinolinyl, decahydroquinolinyl, diazabicyclooctyl, diazetyl, diaziridinethionyl, diaziridinonyl, diaziridinyl, diazirinyl, dibenzisoquinolinyl, dibenzoacridinyl, dibenzocarbazolyl, dibenzofuranyl, dibenzophenazinyl, dibenzopyranonyl, dibenzopyronyl (xanthonyl), dibenzoquinoxalinyl, dibenzothiazepinyl, dibenzothiepinyl, dibenzothiophenyl, dibenzoxepinyl, dihydroazepinyl, dihydroazetyl, dihydrofuranyl, dihydrofuryl, dihydroisoquinolinyl, dihydropyranyl, dihydropyridinyl, dihydroypyridyl, dihydroquinolinyl, dihydrothienyl, dihydroindolyl, dioxanyl, dioxazinyl, dioxindolyl, dioxiranyl, dioxenyl, dioxinyl, dioxobenzofuranyl, dioxolyl, dioxotetrahydrofuranyl, dioxothiomorpholinyl, dithianyl, dithiazolyl, dithienyl, dithiinyl, furanyl, furazanyl, furoyl, furyl, guaninyl, homopiperazinyl, homopiperidinyl, hypoxanthinyl, hydantoinyl, imidazolidinyl, imidazolinyl, imidazolyl, indazolyl (e.g., 1H-indazolyl), indolenyl, indolinyl, indolizinyl, indolyl (e.g., 1H- indolyl or 3H-indolyl), isatinyl, isatyl, isobenzofuranyl, isochromanyl, isochromenyl, isoindazoyl, isoindolinyl, isoindolyl, isopyrazolonyl, isopyrazolyl, isoxazolidiniyl, isoxazolyl, isoquinolinyl, isoquinolinyl, isothiazolidinyl, isothiazolyl, morpholinyl, naphthindazolyl, naphthindolyl, naphthiridinyl, naphthopyranyl, naphthothiazolyl, naphthothioxolyl, naphthotriazolyl, naphthoxindolyl, naphthyridinyl, octahydroisoquinolinyl, oxabicycloheptyl, oxauracil, oxadiazolyl, oxazinyl, oxaziridinyl, oxazolidinyl, oxazolidonyl, oxazolinyl, oxazolonyl, oxazolyl, oxepanyl, oxetanonyl, oxetanyl, oxetyl, oxtenayl, oxindolyl, oxiranyl, oxobenzoisothiazolyl, oxochromenyl, oxoisoquinolinyl, oxoquinolinyl, oxothiolanyl, 10934-1WO_LAMRP855WO phenanthridinyl, phenanthrolinyl, phenazinyl, phenothiazinyl, phenothienyl (benzothiofuranyl), phenoxathiinyl, phenoxazinyl, phthalazinyl, phthalazonyl, phthalidyl, phthalimidinyl, piperazinyl, piperidinyl, piperidonyl (e.g., 4-piperidonyl), pteridinyl, purinyl, pyranyl, pyrazinyl, pyrazolidinyl, pyrazolinyl, pyrazolopyrimidinyl, pyrazolyl, pyridazinyl, pyridinyl, pyridopyrazinyl, pyridopyrimidinyl, pyridyl, pyrimidinyl, pyrimidyl, pyronyl, pyrrolidinyl, pyrrolidonyl (e.g., 2-pyrrolidonyl), pyrrolinyl, pyrrolizidinyl, pyrrolyl (e.g., 2H- pyrrolyl), pyrylium, quinazolinyl, quinolinyl, quinolizinyl (e.g., 4H-quinolizinyl), quinoxalinyl, quinuclidinyl, selenazinyl, selenazolyl, selenophenyl, succinimidyl, sulfolanyl, tetrahydrofuranyl, tetrahydrofuryl, tetrahydroisoquinolinyl, tetrahydroisoquinolyl, tetrahydropyridinyl, tetrahydropyridyl (piperidyl), tetrahydropyranyl, tetrahydropyronyl, tetrahydroquinolinyl, tetrahydroquinolyl, tetrahydrothienyl, tetrahydrothiophenyl, tetrazinyl, tetrazolyl, thiadiazinyl (e.g., 6H-1,2,5-thiadiazinyl or 2H,6H-1,5,2-dithiazinyl), thiadiazolyl, thianthrenyl, thianyl, thianaphthenyl, thiazepinyl, thiazinyl, thiazolidinedionyl, thiazolidinyl, thiazolyl, thienyl, thiepanyl, thiepinyl, thietanyl, thietyl, thiiranyl, thiocanyl, thiochromanonyl, thiochromanyl, thiochromenyl, thiodiazinyl, thiodiazolyl, thioindoxyl, thiomorpholinyl, thiophenyl, thiopyranyl, thiopyronyl, thiotriazolyl, thiourazolyl, thioxanyl, thioxolyl, thymidinyl, thyminyl, triazinyl, triazolyl, trithianyl, urazinyl, urazolyl, uretidinyl, uretinyl, uricyl, uridinyl, xanthenyl, xanthinyl, xanthionyl, and the like, as well as modified forms thereof (e.g., including one or more oxo and/or amino) and salts thereof. The heterocyclyl group can be substituted or unsubstituted. For example, the heterocyclyl group can be substituted with one or more substitution groups, as described herein for aryl. [0101] By “hydroxyl” is meant -OH. [0102] By “hydroxyalkyl” is meant an alkyl group, as defined herein, substituted by one to three hydroxyl groups, with the proviso that no more than one hydroxyl group may be attached to a single carbon atom of the alkyl group and is exemplified by hydroxymethyl, dihydroxypropyl, and the like. [0103] By “ketone” is meant A1C(O)A2, where A1 and A2 can be, independently, an alkyl, haloalkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or heterocycloalkenyl group, as described herein. [0104] By “mole percent” (mol %) of a component, unless specifically stated to the contrary, is meant based on the total number of moles of each unit of the formulation or composition in which the component is included. 10934-1WO_LAMRP855WO [0105] By “molecular weight” is meant number-average molecular weight which is sometimes measured by 1H NMR spectroscopy or other analytical methods, unless clearly indicated otherwise.
Figure imgf000020_0001
[0106] By “monomer” is meant one of the constituent units used to synthesize a polymer. [0107] By “nitro” is meant an -NO2 group. [0108] By “optional” or “optionally” is meant that the subsequently described event or circumstance can or cannot occur, and that the description includes instances where the event, moiety or circumstance occurs and instances where the event, moiety or circumstance does not. [0109] By “oxy” is meant -O-. [0110] By “phosphonic acid” is meant -P(O)(OH)2. [0111] In the present disclosure, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like. [0112] The term “semiconductor substrate” or “substrate” as used herein refers to a substrate at any stage of semiconductor device fabrication containing a semiconductor material anywhere within its structure. It is understood that the semiconductor material in the semiconductor substrate does not need to be exposed. Semiconductor wafers having a plurality of layers of other materials (e.g., dielectrics) covering the semiconductor material, are examples of semiconductor substrates. The following detailed description assumes the disclosed implementations are implemented on a semiconductor wafer, such as on a 200 mm, 300 mm, or 450 mm semiconductor wafer. However, the disclosed implementations are not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed implementations include various articles such as printed circuit boards and the like. 10934-1WO_LAMRP855WO [0113] Substrates may have “features” such as via or contact holes, which may be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios. The feature may be formed in one or more of the above described layers. One example of a feature is a hole or via in a semiconductor substrate or a layer on the substrate. In some embodiments, the feature may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1, at least about 10:1, or higher. The feature may also have a dimension near the opening, e.g., an opening diameter or line width of between about 10 nm to 500 nm, for example between about 25 nm and about 300 nm. Disclosed methods may be performed on substrates with features having an opening less than about 150 nm. A feature via or trench may be referred to as an unfilled feature or a feature. A feature that may have a re-entrant profile that narrows from the bottom, closed end, or interior of the feature to the feature opening. [0114] The term “plasma” generally represents a gas comprising cations, free radicals and free electrons. The term “in-situ plasma” generally represents a plasma formed at a processing station in a processing chamber. The term “remote plasma” generally represents a plasma formed at a location away from a processing station in a processing chamber. [0115] The term “plasma generator” generally represents a combination of components that can be used to form a plasma. Example components include a radiofrequency power source, an impedance matching network, and one or more electrodes. [0116] The term “processing chamber” or “process chamber” generally represents an enclosure in which chemical and/or physical processes are performed on substrates. The pressure, substrate temperature and atmospheric composition within a processing chamber can be controllable to perform the chemical and/or physical processes. [0117] The term “processing tool” may generally represent a machine comprising a processing chamber and other hardware configured to enable processing to be carried out in the processing chamber. [0118] The term “processing station” generally represents a location in a processing chamber at which a substrate is positioned during processing. [0119] The term “inert gas” generally represents a gas phase material that does not react with other chemicals in a processing chamber during substrate processing. Example inert gases include helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe), as well as nitrogen (N2) in some processes. 10934-1WO_LAMRP855WO [0120] By “salt” is meant an ionic form of a compound or structure (e.g., any formulas, compounds, or compositions described herein), which includes a cation or anion compound to form an electrically neutral compound or structure. The salts can be prepared in situ during the final isolation and purification of the compounds of the invention or separately by reacting the free base group with a suitable organic acid (thereby producing an anionic salt) or by reacting the acid group with a suitable metal or organic salt (thereby producing a cationic salt). Representative anionic salts include acetate, adipate, alginate, ascorbate, aspartate, benzenesulfonate, benzoate, bicarbonate, bisulfate, bitartrate, borate, bromide, butyrate, camphorate, camphorsulfonate, chloride, citrate, cyclopentanepropionate, digluconate, dihydrochloride, diphosphate, dodecylsulfate, edetate, ethanesulfonate, fumarate, glucoheptonate, gluconate, glutamate, glycerophosphate, hemisulfate, heptonate, hexanoate, hydrobromide, hydrochloride, hydroiodide, hydroxyethanesulfonate, hydroxynaphthoate, iodide, lactate, lactobionate, laurate, lauryl sulfate, malate, maleate, malonate, mandelate, mesylate, methanesulfonate, methylbromide, methylnitrate, methylsulfate, mucate, 2- naphthalenesulfonate, nicotinate, nitrate, oleate, oxalate, palmitate, pamoate, pectinate, persulfate, 3-phenylpropionate, phosphate, picrate, pivalate, polygalacturonate, propionate, salicylate, stearate, subacetate, succinate, sulfate, tannate, tartrate, theophyllinate, thiocyanate, triethiodide, toluenesulfonate, undecanoate, valerate salts, and the like. Representative cationic salts include metal salts, such as alkali or alkaline earth salts, e.g., barium, calcium (e.g., calcium edetate), lithium, magnesium, potassium, sodium, and the like; other metal salts, such as aluminum, bismuth, iron, and zinc; as well as nontoxic ammonium, quaternary ammonium, and amino cations, including, but not limited to ammonium, tetramethylammonium, tetraethylammonium, methylamine, dimethylamine, trimethylamine, triethylamine, ethylamine, pyridinium, and the like. Other cationic salts include organic salts, such as chloroprocaine, choline, dibenzylethylenediamine, diethanolamine, ethylenediamine, methylglucamine, and procaine. Yet other salts include ammonium, sulfonium, sulfoxonium, phosphonium, iminium, imidazolium, benzimidazolium, amidinium, guanidinium, phosphazinium, phosphazenium, pyridinium, etc., as well as other cationic groups described herein (e.g., optionally substituted isoxazolium, optionally substituted oxazolium, optionally substituted thiazolium, optionally substituted pyrrolium, optionally substituted furanium, optionally substituted thiophenium, optionally substituted imidazolium, optionally substituted pyrazolium, optionally substituted isothiazolium, optionally substituted triazolium, optionally substituted tetrazolium, optionally substituted furazanium, optionally substituted pyridinium, optionally substituted pyrimidinium, optionally substituted pyrazinium, optionally substituted 10934-1WO_LAMRP855WO triazinium, optionally substituted tetrazinium, optionally substituted pyridazinium, optionally substituted oxazinium, optionally substituted pyrrolidinium, optionally substituted pyrazolidinium, optionally substituted imidazolinium, optionally substituted isoxazolidinium, optionally substituted oxazolidinium, optionally substituted piperazinium, optionally substituted piperidinium, optionally substituted morpholinium, optionally substituted azepanium, optionally substituted azepinium, optionally substituted indolium, optionally substituted isoindolium, optionally substituted indolizinium, optionally substituted indazolium, optionally substituted benzimidazolium, optionally substituted isoquinolinum, optionally substituted quinolizinium, optionally substituted dehydroquinolizinium, optionally substituted quinolinium, optionally substituted isoindolinium, optionally substituted benzimidazolinium, and optionally substituted purinium). [0121] By “silyl” is meant -SiA1A2A3, where each of A1, A2, and A3 can be, independently, an alkyl, haloalkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or heterocycloalkenyl group, as described herein. [0122] By “substituted” is meant having one or more substituent moieties whose presence does not interfere with the desired function or reactivity. Examples of substituents alkyl, alkenyl, alkynyl, cycloalkyl (non-aromatic ring), Si(alkyl)3, Si(alkoxy)3, alkoxy, amino, alkylamino, alkenylamino, amide, amidine, guanidine, hydroxyl, thioether, alkylcarbonyl, alkylcaronyloxy, alkoxycarbonyloxy, carbonate, alkoxycarbonyl, aminocarbonyl, alkylthiocarbonyl, phosphate, phosphate ester, phosphonato, cyano, halo, acylamino, imino, sulfhydryl, alkylthio, thiocarboxylate, dithiocarboxylate, sulfate, sulfato, sulfonate, sulfamoyl, sulfonamide, nitro, nitrile, azido, heterocyclyl, ether, ester, silicon-containing moieties, thioester or a combination thereof. The substituents may themselves be substituted. For instance, an amino substituent may itself be mono or independently disubstituted by further substituents defined above, such as alkyl, alkenyl, alkynyl, and cycloalkyl (non-aromatic ring). [0123] By “sulfinic acid” is meant -S(O)OH. [0124] By “sulfinyl” is meant -S(O)A1, where A1 can be hydrogen, an alkyl, haloalkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or heterocycloalkenyl group, as described herein. [0125] By “sulfonic acid” is meant -S(O)2OH. [0126] By “sulfonyl” is meant -S(O)2A1, where A1 can be hydrogen, an alkyl, halogenated alkyl, alkenyl, alkynyl, aryl, heteroaryl, cycloalkyl, cycloalkenyl, heterocycloalkyl, or 10934-1WO_LAMRP855WO heterocycloalkenyl group, as described herein. [0127] By “terpolymer” is meant a polymer synthesized from three different monomers. [0128] By “thio” is meant an -S- group. [0129] By “thiol” is meant an -SH group. [0130] By “unsubstituted” is meant any open valence of an atom being occupied by hydrogen. Also, if an occupant of an open valence position on an atom is not specified, it is hydrogen. [0131] Use of the above terms is meant to encompass substituted and unsubstituted moieties. Substitution may be by one or more groups such as alcohols, ethers, esters, amides, sulfones, sulfides, hydroxyl, nitro, cyano, carboxy, amines, heteroatoms, lower alkyl, lower alkoxy, lower alkoxycarbonyl, alkoxyalkoxy, acyloxy, halogens, trifluoromethoxy, trifluoromethyl, alkyl, aralkyl, alkenyl, alkynyl, aryl, cyano, carboxy, carboalkoxy, carboxyalkyl, cycloalkyl, cycloalkylalkyl, heterocyclyl, alkylheterocyclyl, heterocyclylalkyl, oxo, arylsulfonyl and aralkyaminocarbonyl, or any of the substituents of the preceding paragraphs or any of those substituents either directly attached or by suitable linkers. The linkers are typically short chains of 1-3 atoms containing any combination of - C-, -C(O)-, -NH-, -S-, -S(O)-, -O-, -C(O)- or -S(O)O-. Rings may be substituted multiple times. [0132] As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus. [0133] As used herein, “weight percent” (wt %) of a component, unless specifically stated to the contrary, is based on the total weight of the formulation or composition in which the component is included. [0134] Spatial and functional relationships between elements (for example between modules, circuit elements, semiconductor layers, etc.) are described using various terms including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are 10934-1WO_LAMRP855WO present (either spatially or functionally) between the first and second elements. [0135] As used herein, the phrase “at least one of A, B and C” should be construed to mean a logical (A or B or C), using a non-exclusive logical “or” and should not be construed to mean “at least one of A, at least one of B and at least one of C.” [0136] It is understood that throughout this specification the identifiers “first”, “second” and “third” are used solely to aid in distinguishing the various components and/or steps of the disclosed subject matter. The identifiers “first”, “second” and “third” are not intended to imply any particular order, amount, preference or importance to the components and/or steps modified by these terms. [0137] Reference is made herein in detail to specific embodiments of the disclosure. Examples of the specific embodiments are illustrated in the accompanying drawings. While the disclosure will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the disclosure to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail so as to not unnecessarily obscure the present disclosure. [0138] These and other aspects are discussed further below with reference to the drawings. BRIEF DESCRIPTION OF THE DRAWINGS [0139] FIGS. 1A-1C are flow diagrams showing certain operations in semiconductor fabrication processes that use stimulus response polymers (SRPs) in accordance with certain disclosed embodiments. Provided are (A) a method 100 for depositing on sensitive surface, (B) a method 120 for depositing to fill a trench or a gap, and (C) a method 140 for depositing on a high aspect ratio (HAR) structure. [0140] FIGS. 2A-2C show side cross-sectional views of various structures in accordance with certain disclosed embodiments. Provided are (A) a structure 200 including an SRP film 203; (B) another structure 220 having a filled trench 222; and (C) yet another structure 240 having an SRP film 243 disposed between a plurality of high aspect ratio (HAR) structures 242. 10934-1WO_LAMRP855WO [0141] FIGS.3A-3B are process flow diagrams for non-limiting methods of removing SRP in accordance with certain disclosed embodiments. [0142] FIG. 4 is a flowchart of an example of a method for adding and removing a sacrificial protective layer from a substrate in accordance with certain disclosed embodiments. [0143] FIG. 5 is a functional block diagram of a substrate processing system including multiple substrate processing tools and a storage buffer in accordance with certain disclosed embodiments. [0144] FIG. 6 is a functional block diagram of an example of a substrate processing chamber for adding and removing a sacrificial protective layer in accordance with certain disclosed embodiments. DETAILED DESCRIPTION [0145] Stimuli responsive polymers (SRPs) may be used in semiconductor fabrication processes as sacrificial layers that can be later removed. Low ceiling temperature SRPs can be spontaneously removed when exposed to stimuli such as mildly elevated temperatures or acidic vapors, avoiding aggressive wet or dry removal chemistries that may harm the substrate surface. Other processes to remove SRPs are described herein. During semiconductor fabrication, many surfaces are sensitive to the surrounding environment, which can lead to undesirable interactions and necessitate the need for queue-time management solutions. These interactions include unwanted oxidation, corrosion, and/or halogenation. [0146] SRP layers can protect environmentally sensitive surfaces and substrates from chemical modification. For instance, SRPs can protect surfaces from airborne molecular contaminants (AMCs) and block reactive sites disposed on the substrate. In addition, an SRP may also protect substrate surfaces from etch residues. The etch residues may be fluorine- containing contaminants (such as F-, NF3 or CxHyFz), chlorine-containing contaminants (such as CxHyClz, BCl3 or Cl-) and boron-containing contaminants (such as BCl3). Sensitive surfaces include substrates during semiconductor processing, such as integrated circuit (IC) fabrication. [0147] Such sacrificial films can provide queue-time extension. In one instance, the SRP film can be a thin sacrificial polymer configured to protect sensitive thin films for extended periods when the materials are vulnerable to external threats. The sacrificial surface protection layers can eventually be removed by triggering spontaneous depolymerization and vaporization of the protection layer above its ceiling temperature with the appropriate stimuli (e.g., thermal 10934-1WO_LAMRP855WO and/or electromagnetic), thus minimizing the impact on the sensitive surfaces. Examples of surfaces that can be sensitive to environmental queue-time effects include but are not limited to semiconductor-containing materials such as those with silicon, silicon/germanium (Si/Ge), graphene and metal-containing materials such as those with copper, tungsten, cobalt, molybdenum, ruthenium, nickel and titanium nitride (TiN). [0148] Furthermore, SRPs can be used to brace HAR structures during processing, thereby minimizing collapse of such features. Depositing a low ceiling temperature copolymer onto HAR structures can both protect the surfaces from being modified, as well as prevent collapse from capillary forces during solvent drying by mechanically bracing the features. If the copolymer brace is removed properly, it also enables collapse-free drying of high aspect ratio structures. [0149] The SRP can be deposited in any useful manner. Exemplary deposition techniques (e.g., for a film) include any described herein, such as ALD (e.g., thermal ALD and plasma- enhanced ALD), spin-coat deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, etc., or a combination thereof, such as ALD with a CVD component, such as a discontinuous, ALD- like process in which precursors and counter-reactants are separated in either time or space. [0150] To construct a film, the SRP is first spin-coated or vapor deposited. Then one or more cap layers are subsequently deposited onto the SRP. Vapor-phase, low temperature, non-plasma CVD techniques may be used to avoid SRP degradation. For example, CVD deposition at a temperature of no more than or less than 150oC may be used without radiation. Additionally, the cap layer may be spun cast on top of the SRP using a solvent that does not dissolve the SRP. Multiple different types of films may be deposited multiple times in a repeated stack to optimize protection of the surface. [0151] In some embodiments, a first cap layer may be deposited by a mild CVD process to protect the SRP film followed by deposition by a harsher technique such as PECVD to grow faster, more robust films. The temperature of the substrate should generally below 150oC (or other degradation temperature) of the SRP film throughout the entire process, or exceed it for no more than a few seconds. [0152] In some embodiments, deposition is by chemical vapor deposition or plasma enhanced chemical vapor deposition. The temperature of a pedestal in a deposition chamber may be from about -20 ºC to about 100 ºC. Preferably, the temperature during the dry 10934-1WO_LAMRP855WO deposition of polymer is from about 0 ºC to about 50 ºC. [0153] The total pressure of the chamber during the deposition of the SRP may be from about 10 mTorr to about 10 Torr, preferrable about 1 Torr to about 5 Torr. The fractional saturation (Px/Psat) is great than 0 and smaller than 1, where Px is the partial pressure of a precursor, and Psat is the saturation pressure of the precursor at the substrate (pedestal) temperature. In some embodiments, trioxane and boron trifluoride diethyl etherate may be utilized to synthesize the poly(oxymethylene) homopolymer. [0154] Alternatively, wet deposition is another method to apply the protective coating layer. For instance, wet deposition of the oxymethylene copolymer protection layer can include spin coating, in which the formulation of the spin coating solution and eventual protection layer can be important for its performance. The choice of solvent that is used for the spin coating formulation can impact the amount of residual solvent that remains in the copolymer thin films. Residual solvent can plasticize the copolymer, lowering its glass transition temperature. By plasticizing the copolymers, it is possible to better fill HAR features and relax stresses from the spin coating process at temperatures below the degradation temperature of the copolymer. [0155] Furthermore, the copolymer can be formulated with weak organic acids (e.g., pKa ^^^^^^^7KHVH^ZHDN^RUJDQLF^DFLGV^FDQ^FDWDO\]H^WKH^GHJUDGDWLRQ^RI^WKH^653^ZLWKRXW^FRPSURPLVLQJ^ the film stability. By catalyzing the copolymer degradation, it is possible to lower the onset degradation temperature and increase the degradation rate. [0156] Examples of processes that involve the use of sacrificial SRPs are described below with reference to FIGS. 1A-1C, with further details of the removal process provided with reference to FIGS. 3A-3B. Turning to FIG. 1A, an example of a method 100 for protecting a sensitive surface is shown. First at an operation 101, a substrate including a sensitive surface is provided. [0157] Next in an operation 103, the SRP is deposited on the surface. In some embodiments, the SRP may be deposited as a formulation. Optionally, the substrate is then dried in an operation 105. The SRP solidifies as the liquid portion solution is removed, thereby forming a film. The substrate having the SRP can be stored in an operation 107. A non-limiting structure 200 having such a film 203 disposed upon a substrate 201 is provided in FIG. 2A. Film 203 may be a single layer of polymer, a mixture or blend of polymers in a single layer, or multiple layers of polymers sequentially applied as a stack. [0158] Returning to FIG. 1A, the substrate is exposed to a stimulus to degrade all of or 10934-1WO_LAMRP855WO only a portion of the SRP, thereby removing the SRP from the substrate in an operation 109. The SRP is a temporary layer which may cover the substrate anywhere from thirty minutes or less up to about eight hours. Volatile monomers or fragments from the degraded polymer can be removed. As described further below, operation 109 may involve controlled exposure to a condition, a compound, or two reactants that react to form a compound that degrades the SRP. The stimulus can be any that performs scission of the bonds of the SRP to degrade it. In some embodiments, the stimulus includes heat or radiation. In other embodiments, the compound is a relatively strong acid or base. [0159] In FIG.1B, an example of a method 120 for filling a trench or a gap is shown. First at an operation 121, a substrate including a trench or a gap is provided. Next in an operation 123, the SRP is deposited on the surface to fill the trench or the gap. Optionally, the substrate is then dried in an operation 125. The SRP solidifies to form a film, and a non-limiting structure 220 having such a film 223 disposed within a trench 222 on a substrate 221 is provided in FIG. 2B. Film 223 may be a single layer of polymer, a mixture or blend of polymers in a single layer, or multiple layers of polymers sequentially applied as a stack. [0160] Returning to FIG.1B, the film can then be stored in an operation 127, and removal occurs in an operation 129. [0161] The substrate is exposed to a stimulus to degrade all of or only a portion of the SRP, thereby removing the SRP from the substrate in an operation 129. Volatile monomers or fragments from the degraded polymer can be removed. Removing an SRP from a substrate can include controlled degradation of the entire SRP film or a portion thereof. [0162] As described further below, operation 129 may involve controlled exposure to a condition, a compound, or two reactants that react to form a compound that degrades the SRP. The stimulus can be any that performs scission of the bonds of the SRP to degrade it. In some embodiments, the stimulus includes heat or radiation. In other embodiments, the compound is a relatively strong acid or base. [0163] Turning to FIG.1C, an example of a method 140 for bracing HAR structures using an SRP is shown. First at an operation 141, a substrate including HAR structures with a solvent is provided. HAR structures are structures having high aspect ratios (ARs), e.g., at least 8, 10, 20, 30, 40, or 80. The substrate may be provided, for example, after a wet etch or cleaning operation and have solvent associated with the prior operation. In some embodiments, the solvent in operation 141 may be a transitional solvent if the prior solvent is not chemically 10934-1WO_LAMRP855WO compatible with the SRP solution. [0164] Next in an operation 143, the solvent is displaced with a solution that includes an SRP. The substrate is then dried in an optional operation 145. The SRP solidifies as the liquid portion solution is removed, and the SRP fills the HAR structures. A mechanical brace forms in the HAR structures to prevent collapse of the structures due to capillary forces that are generated during solvent drying. A non-limiting structure 240 having such a film 243 on and between a plurality of HAR structures 242 disposed on a substrate 241 is provided in FIG.2C. Film 243 may be a single layer of polymer, a mixture or blend of polymers in a single layer, or multiple layers of polymers sequentially applied as a stack. [0165] Returning to FIG. 1C, the substrate having the SRP can be stored in an operation 147. Then, the substrate is exposed to a stimulus to degrade all of or only a portion of the SRP, thereby removing the SRP from the substrate in an operation 149. [0166] Several methods have been developed to achieve residue-free removal of SRPs without modifying the sensitive substrates. One method for depolymerizing the material includes exposing the polymer to elevated temperature under vacuum conditions. This method can lead to a rapid volatilization of the polymer, however angstrom-level residues, composed of char and residual monomer, often remain on the surface. [0167] SRPs can be removed by using a less aggressive trigger, such as light or mild temperatures. These sacrificial polymers could allow protection of the sensitive surfaces and subsequent removal of the barrier film without exposing the surfaces to aggressive plasmas or wet chemical solutions. For certain challenging applications, there may be limitations to the temperature at which the substrates can be exposed, or extremely stringent contamination or throughput requirements. The SRPs and films thereof can be designed to address these applications. In some non-limiting instances, benefits for employing an oxymethylene- containing co- or ter-polymer as an SRP may include a stronger driving force for depolymerization and/or a lower likelihood of having side reactions during unzipping, as compared to copolymers. [0168] Alternative removal processes can provide lower contamination levels. In some instance, SRP removal processes provide a lower contamination level with removal conditions that are milder than that for silicon nitride removal. In one such process, the SRP is exposed to long-lived metastable species from a noble gas plasma under vacuum at elevated temperature. In another process, the SRP is exposed to infrared (IR) or ultraviolet (UV) 10934-1WO_LAMRP855WO radiation at elevated temperature while under vacuum. Other processes include high temperature exposure (e.g., about 50°C to about 800°C) under vacuum conditions (e.g., < 760 Torr) for a limited exposure time. Another process can include simultaneous high temperature exposure and radiation exposure by either UV or IR, which are under vacuum. Yet another process can include simultaneous high temperature exposure and noble gas metastable exposure, which are under vacuum. In particular embodiments, these removal processes have also shown low contamination without increasing the surface modification of the sensitive substrates. [0169] FIGS. 3A-3B shows process flow diagrams showing further examples of a method of controlled exposure to a stimulus to degrade the SRP. Referring to FIG. 3A, method 300 includes providing a substrate with SRP film in an operation 301. Operations 302-306 provide exposure to various types of stimuli, in which operations 302-306 may be used alone or in combination. Examples of apparatus that the substrate may be provided to are described below with reference to FIG.5. In some embodiments, operation 301 involves providing the substrate to a processing chamber. In other embodiments, the substrate is in the chamber from a previous processing operation. The SRP may be provided in a variety of forms – for example, in a gap between features of a structure or as blanket film on all or part of a substrate. [0170] Within the chamber, the substrate can be exposed to heat in an operation 302. Heat can be provided as a constant temperature hold. Alternatively, heat can be provided as a ramped temperature, in which increasing or decreasing temperature ramping can be used between temperature holds. Such thermal energy can provide sufficient energy to depolymerize the SRP by providing heat at a temperature that is above the Tc. Such conditions can include exposure to a temperature of up to 400°C for an SRP having a Tc that is below 400°C, in which the SRP is kinetically trapped below the Tc. In other embodiments, thermal exposure can include a temperature from about 50°C to about 800°C (e.g., about 50°C to 150°C, 50°C to 300°C, 50°C to 500°C, 150°C to 300°C, 150°C to 400°C, 150°C to 500°C, 200°C to 400°C, 200°C to 500°C, 200°C to 600°C, 250°C to 500°C, 250°C to 600°C, 300°C to 500°C, 300°C to 550°C, 300°C to 600°C, etc.). In particular embodiments, thermal exposure includes from about 300°C to about 500°C (e.g., for removing films including pure SRP). In other embodiments, thermal exposure includes exposure to an elevated temperature (e.g., up to 800°C) with a fast ramp rate and a shorter time. When additives (e.g., a photoacid generator (PAG) or any herein) are used, the temperature for removal can be between about 50°C and about 125°C, in addition to exposure to other stimulus that can beneficially activate the additive 10934-1WO_LAMRP855WO (e.g., UV exposure to activate the PAG). [0171] For basic thermal removal of surface protection films (e.g., providing a substrate on a hot plate), exposure time can be from about 20 seconds to about 400 seconds (e.g., about 30 to 300 seconds). Thicker films can require longer exposure to heat for SRP removal, as compared to thinner films. Film thickness required will be application dependent. For instance, some removal thermal processes (e.g., using a rapid thermal processor (RTP)) can include higher temperatures (e.g., more than about 400°C) for very short times (e.g., one to two seconds of exposure for RTP, as well as millisecond exposure times for flash lamp type processes). For applications that are thermal budget sensitive, RTP-type conditions can be employed, whereas other processes may employ a hot plate under vacuum. [0172] Alternatively, the SRP can be removed by exposure to radiation (e.g., UV radiation or IR radiation), either with or without vacuum, in an operation 303. In some instances, process conditions include exposure to about 400°C under vacuum at about 2.5 W/cm2 UV dose rate. In other instances, process conditions (e.g., for an SRP employed with a photoacid generator) includes exposure to about 110°C under vacuum for at about 0.05 mW/cm2 UV dose rate. In any of these process conditions, exposure can include from about 100 seconds to about 400 seconds (e.g., about 300 seconds). [0173] For radiation removal of surface protection films (e.g., pure SRP), exposure time can be from about 20 seconds to about 400 seconds (e.g., about 30 to 300 seconds). Thicker films can require longer exposure to radiation (e.g., UV) for SRP removal, as compared to thinner films. Film thickness required will be application dependent. For films with acid generating additives (e.g., PAG), the exposure times may range from two minutes to ten minutes. Exposure time can depend on many conditions, including the loading of the additives, wafer temperature, UV dose rate, and film thickness. These requirements, in turn, will be application dependent (e.g., depend on feature dimensions, aspect ratio, pattern density, etc.). [0174] Radiation dosage can be, e.g., from about 0.1 mW/cm2 to about 15 W/cm2 for UV. For bracing applications in which rate control of the degradation can be desired, lower dose rates can be employed, e.g., from about 0.01 to about 0.07 mW/cm2. For pure SRP film removal from blanket surfaces, higher dose rates can be employed, e.g., about 2.5 W/cm2. Generally, the higher the dose rate, the cleaner the removal. Of course, radiation exposure can also be application dependent, and excessive radiation can be avoided to mitigate substrate damage. 10934-1WO_LAMRP855WO [0175] During radiation exposure, the substrate can be maintained at an elevated temperature (e.g., from about 300°C to about 500°C, including about 400°C). When the formulation includes acid generating additives (e.g., PAG), then lower temperatures can be combined with UV exposure to provide a controlled degradation rate (e.g., temperature range of about 50°C to about 125°C or from about 100°C to about 110°C). [0176] Metastable atoms are employed in another operation 304. The metastable atoms can be generated from a noble gas plasma, the noble gas being one or more of helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe), to remove residue from the substrate. In some embodiments, the metastable species are not chemically reactive and do not appreciably affect the underlying surface. The metastable species from the noble gas plasma can be effective at removing residues that remain after exposure to other stimuli such as heat. [0177] In the methods described herein, removing SRPs includes exposure to high energy metastable species, generated in a noble gas plasma, at an elevated temperature. The metastable species have sufficient energies and lifetimes to scission bonds on the polymer or other residues. At temperatures greater than the ceiling temperature, there is a strong thermodynamic driving force to revert to volatile monomers once bond scissioning has occurred. The metastable species are not chemically reactive and do not appreciably affect the underlying surface. The metastable species are effective at removing residue that remains after exposure to other stimuli such as heat. This residue may be some SRP that remains polymerized or cross-linked and/or carbonized shards that is detectable by ellipsometry. While most of the SRP can be removed by the stimuli described above, this residue can be difficult to fully remove by those methods. Without being bound by a particular theory, the metastables may remove residues by re-initiating chain scissioning that may have stopped prematurely due to side product formation, by breaking down char that may have formed during the depolymerization process, and by aiding monomer desorption. [0178] In some embodiments, most of the SRP is removed before exposing the substrate to the metastable atoms. In some embodiments, the substrate is exposed to the metastable atoms before most of the SRP is removed. In some embodiments, the plasma pressure is between about 10 mTorr to 10 Torr. In some embodiments, the plasma pressure is between about 100 mTorr and 1 Torr. In some embodiments, the SRP is provided between HAR structures. In some embodiments, the SRP is provided as a protective coating on substrate. In some embodiments, the plasma is generated in an inductively coupled plasma (ICP) source. In some such embodiments, the ICP source is separated from the substrate by a showerhead or 10934-1WO_LAMRP855WO other filter. In some embodiments, the plasma is generated in capacitively coupled plasma (CCP) source. Any other type of plasma source may be used. In some embodiments, exposing the substrate to a stimulus and exposing the substrate to the metastable atoms are performed in the same chamber. [0179] Processing and plasma source chamber pressure may be used to control the plasma- based removal. Pressure is important to control the density of the metastable atoms. If pressure is too low, the density of metastable atoms may not be high enough to efficiently clean the surface. If the pressure is too high, metastable species may be lost to collisions. Example pressures may range from 10 mTorr to 10 Torr, 100 mTorr to 1 Torr, 100 mTorr to 700 mTorr, 200 mTorr to 1 Torr, or 200 mTorr to 2 Torr. [0180] Substrate temperature and plasma power may also be used to control removal. Temperature is high enough such that it is above the ceiling temperature of the polymer. Higher temperatures aid removal with the maximum temperature limited by the thermal budget of the device or other materials on the substrate. Example temperatures may range from 150°C to 1000°C or from 150°C to 400°C. Plasma power is high enough to generate metastable atoms. Example powers may range from 500 W to 5000 W or from 800 W to 5000 W, e.g., 2500 W for a 300 mm wafer, and scale linearly with substrate area. Example exposure times may range from 10 seconds to 300 seconds or from 10 seconds to 180 seconds. [0181] As seen in FIG. 3A, yet other conditions include exposure to acidic or basic chemicals in an operation 305 or exposure to plasma in an operation 306. Such chemicals can be provided by a reactant, such as an acid (e.g., having a pKa of less than 7, and in some embodiments less than 4, or less than 2) or a base (e.g., having a pKb of less than 7, and in some embodiments, less than 4 or less than 2). Non-limiting reactants include sulfurous acid, nitric acid, carbonic acid, or ammonium hydroxide. [0182] A catalyst can be used with the acid, base, or a reactant that forms the acid or base. Non-limiting catalysts include hydrogen bromide (HBr), hydrogen chloride (HCl), hydrogen fluoride (HF), hydrogen iodide (HI), nitric acid (HNO3), formic acid (CH2O2), acetic acid (CH3COOH), formonitrile (HCN), sulfurous acid (H2SO3), carbonic acid (H2CO3), nitrous acid (HNO2), or ammonia (NH3), and methyl or ethyl amine gas or vapor may be used. In some examples, when HBr vapor is used, the substrate is maintained at a pressure in a range from 1 mTorr to 5000 mTorr (e.g., from 5 mTorr to 5000 mTorr) and a temperature in a range from 0°C to 200°C (e.g., from 0°C to 100°C). In some examples, the substrate is maintained at a 10934-1WO_LAMRP855WO pressure in a range from 750 mTorr to 1500 mTorr and a temperature in a range from 35°C to 70°C. In some examples, the temperature of the substrate is maintained at a pressure of 1000 mTorr and a temperature of 60°C. The amount of acidic vapor or vapor of other compound is controlled to limit the diffusion. Exposure time can depend on the strength of the acid or base, as well as film thickness and exposure temperature (e.g., from about 20°C to about 125°C or from about 100°C to about 125°C). Non-limiting exposure time can include less than about 60 seconds or on the order of minutes. [0183] Removal can occur in a single step or in a plurality of steps. As seen in FIG.3B, a method 320 can include providing a substrate with an SRP film in an operation 321. Then, a stimulus that degrades SRP is pulsed in the chamber in an operation 323. Such stimulus can include exposure to a compound (e.g., an acid, a base, a compound that forms an acid or base, plasma, metastable compounds, etc.) or a reaction condition (e.g., UV radiation, IR radiation, heat, etc.). In some embodiments, removal includes exposure to heat and/or radiation, thus eliminating the need for plasma and/or harsh wet chemistries that will modify the sensitive surfaces that need to be protected. [0184] When a compound is used, the partial pressure of the vapor and/or the pulse time can be controlled to control the overall exposure to the vapor and the diffusion depth. The chamber can be purged in an operation 325. Purging can involve evacuating the chamber and/or flowing inert gas to be swept out through the chamber. Such a gas may be, for example, continuously flowing including during operation 323 or may be itself pulsed into the chamber. During operation 325, volatilized monomer or SRP fragment may be pumped or purged out of the chamber. Operations 323 and 325 are repeated until the SRP is removed in an operation 327. As indicated above, in some embodiments, the SRP is exposed to reactants sequentially in each cycle. This can provide additional control over the process and may be implemented in various ways. [0185] In other embodiments, removal can include exposure to two reactants that react to form an acid or base that can trigger the degradation of the SRP. The exposure occurs sequentially to provide more precise top down control. In some embodiments, the methods involve diffusing a compound, or a reactant that reacts to form a compound, only to a top portion of the SRP. The top portion is then degraded and removed, leaving the remaining SRP intact. The exposure and removal cycles can be repeated. Optionally, a purge operation can follow the exposure operation to remove the compound or reactant from the chamber. 10934-1WO_LAMRP855WO [0186] Non-limiting reactants (e.g., to form an acid or base) can include water vapor with one of ammonia (NH3) or a gaseous oxide, which reacts with the water vapor to an acidic or basic species. For instance, NH3 and water can react to form ammonium hydroxide (NH4OH). Examples of gaseous oxides include nitrogen dioxide (NO2, which can react with water to form nitric acid, HNO3), sulfur dioxide (SO2, which can react with water to form sulfurous acid, H2SO3), and carbon dioxide (CO2, which can react with water to form carbonic acid, H2CO3). Other oxides may react with water or another reactant to form acids or bases. [0187] According to various embodiments, the reaction may be catalyzed or uncatalyzed. In some embodiments, a catalyst (e.g., a thermally activated catalyst) may be provided in the SRP, delivered with a reactant, or introduced as a separate pulse. However, in many embodiments, the reaction is uncatalyzed such that SRP is provided free of catalysts. This can facilitate SRP removal. In some embodiments, the reaction is byproduct-free. [0188] Additional examples of SRPs and methods using SRPs are described in U.S. Pat. Nos.9,466,511, 9,666,427, 10,008,396, and 10,068,781, each of which are incorporated herein by reference in its entirety. [0189] Referring now to FIG. 4, a method 400 for adding and removing the sacrificial protective layer from the substrate is shown. At 422, a process is performed on the substrate 201 (as shown in FIG. 2A). In some examples, the process includes deposition, etching, stripping, cleaning, chemical mechanical planarization (CMP), patterning, modification of electrical properties (e.g. doping and optionally annealing or exposure to ultraviolet (UV) light to alter the dielectric constant) or another process. At 424, the substrate is cleaned by performing a post processing rinse with a post rinse solution. In some examples, dilute hydrofluoric (HF) acid or another solution is used to remove native oxide. [0190] At 426, the post rinse solution is optionally displaced by a casting solvent. At 428, a solution that will form the sacrificial protective layer is cast, sprayed, applied or deposited on the substrate. In some examples, the solution includes the TAG catalyst. In some examples, the solution includes the PAG catalyst and/or the dye. At 430, the substrate is optionally rotated or spun to dry the substrate. At 434, the substrate is transported and/or stored in an ambient environment. [0191] At 436, the method determines whether the sacrificial protective layer needs to be removed (e.g. when the substrate is ready for additional processing). If 436 is true, the substrate is transported to a processing chamber or substrate processing tool at 438. At 440, the sacrificial 10934-1WO_LAMRP855WO protective layer is removed. [0192] In some examples, the sacrificial protective layer is removed using heat at a temperature in a predetermined temperature range from 50° C to 300° C. In some examples, the sacrificial protective layer is removed using heat at a temperature in a predetermined temperature range from 50° C to 150° C. In some examples, the sacrificial protective layer is removed using exposure to ultraviolet (UV) light. In some examples, the catalyst is added to the solution forming the co-polymer. In some examples, the dye is also added to the solution when the photocatalyst is added to control degradation of the co-polymer. In other examples, an un-catalyzed co-polymer is deposited and the catalyst is dispensed onto the substrate during removal of the sacrificial protection layer. [0193] In some examples, the sacrificial protective layer is removed by exposure to an acidic vapor species. In some examples, the acidic vapor includes hydrogen bromide (HBr) or other acidic vapor. In some examples, when HBr vapor is used, the temperature of the substrate is maintained at a pressure in a range from 5mT to 5000mT) and a temperature in a range from 0^C to 100^C. In some examples, the temperature of the substrate is maintained at a pressure in a range from 750mT to 1500mT) and a temperature in a range from 35^C to 70^C. In some examples, the temperature of the substrate is maintained at a pressure of 1000mT and a temperature of 60^C. At 442, further processing of the substrate may be performed in a substrate processing tool, processing chamber or other location. Stimulus Responsive Polymers [0194] Polyoxymethylene (POM) is a polymer having the structure of formula (I):
Figure imgf000037_0001
(I) and is also known as polyformaldehyde or polyacetal where n is an integer. As referred to herein, a structure of formula (I) is an oxymethylene repeating unit. The present SRPs are copolymers containing both -CH2-O- repeating units and -C - units have the structure of formula (II):
Figure imgf000037_0002
(II) where m is an integer. 10934-1WO_LAMRP855WO [0195] In the structure of formula (II), R1 and R2 may each independently be hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens. Either R1 or R2 may be hydrogen, but both R1 and R2 may not be hydrogen substituents of the same carbon within the repeating unit structure of formula (II). [0196] In some embodiments, R1 and R2 may each independently be substituted or unsubstituted C1-C24 alkyl, C1-C24 alkoxy, C2-C24 alkenyl, C2-C24 alkynyl, C6-C10 heteroaryl, C3-C10 cycloalkyl, C3-C10 cycloalkenyl, C3-C10 heterocyclylalkyl, or C3-C10 heterocyclylalkenyl; and when substituted, R1 and R2 may each independently be substituted with C1-C24 alkyl, C1-C24 alkoxy, C2-C24 alkenyl, C2-C24 alkynyl, C6-C10 aryl, C6-C10 heteroaryl, aldehyde, amino, sulfonic acid, sulfinic acid, fluoroacid, phosphonic acid, ether, halo, hydroxy, ketone, nitro, cyano, azido, silyl, sulfonyl, sulfinyl or thiol. In some embodiments, R1 and R2 may each independently be C1-C14 alkyl substituents. In some embodiments, R1 and R2 may each independently be C8 alkyl substituents. [0197] As to the number of repeating units for the structure of formula (I), n is an integer from 10 to 1,000,000. For the number of repeating units of the structure of formula (II), m is an integer of from 10 to 1,000,000. In other embodiments, n and m may each independently be from about 2 to about 100 (e.g., from about 2 to 10, 2 to 15, 2 to 20, 2 to 25, 2 to 30, 2 to 40, 2 to 50, 2 to 75, 4 to 10, 4 to 15, 4 to 20, 4 to 25, 4 to 30, 4 to 40, 4 to 50, 4 to 75, and 4 to 100). [0198] Many configurations are encompassed by the oxymethylene polymers herein, such as branched configurations, diblock copolymers, triblock copolymers, random or statistical copolymers, stereoblock copolymers, gradient copolymers, graft copolymers, and combinations of any blocks or regions described herein. In any embodiment herein, the SRP can be an amorphous polymer that remains solvent soluble. [0199] In some embodiments, the oxymethylene copolymers are crosslinked. The disclosed polymers can be crosslinked in various ways. For example, by incorporating a reactive group in one or more of the different aldehyde monomers, the reactive groups can be used to form crosslinks with the same or different polymers. Such reactions are sometimes initiated by heat or a catalyst. Alternatively, a crosslinking agent can be used which may have two or more functional groups, each reacting with a different site on the polymer chain. 10934-1WO_LAMRP855WO Examples of crosslinking reactions that can be used to crosslink the disclosed copolymers include, but are not limited to photocuring and free radical polymerization. [0200] The ratio of repeating units having a structure of formula (I) to repeating units having a structure of Formula (II) may be about 1:50; 1:45; 1:40; 1: 35; 1:30; 1:25; 1:20; 1:15; 1:10; 1:5, 1:1, 5:1; 10:1; 15:1; 20:1; 25:1; 30:1; 35:1; 40:1; 45:1 or 50:1. [0201] In some embodiments, the disclosed copolymers can comprise 30 mol % or more of repeating units having a structure of formula (I) based on total monomer weight (i.e.35 mol % or more, 40 mol % or more, 45 mol % or more, 50 mol % or more, 55 mol % or more, 60 mol % or more, 65 mol % or more, 70 mol % or more, 75 mol % or more, 80 mol % or more, 85 mol % or more, 90 mol % or more, 95 mol % or more, or 97 mol % or more). In some embodiments, the copolymer can comprise from 97 mol % or less of repeating units having a structure of formula (I) based on total monomer weight (i.e. 95 mol % or less, 90 mol % or less, 85 mol % or less, 80 mol % or less, 75 mol % or less, 70 mol % or less, 65 mol % or less, 60 mol % or less, 55 mol % or less, 50 mol % or less, 45 mol % or less, 40 mol % or less, 35 mol % or less). The amount of the repeating units having the structure of formula (I) in the copolymer can range from any of the minimum values described above to any of the maximum values described above. [0202] Selection of particular substituents for the R1 and R2 moieties pendant from the -C- O- backbone of the structure of the formula (II) confer advantageous properties to the SRP to enhance the hermetic sealing of a substrate surface. In some embodiments, halo substituents may be utilized, as they can confer hydrophobicity. An SRP with hydrophobic characteristics may serve as a better barrier to water and oxygen, so fluorine or fluorine-containing substituents may be particularly useful. The flat POM film is a hydrophilic surface with a water contact angle of about 77. The water contact angle of the disclosed oxymethylene copolymers may be greater than 90 in certain embodiments. [0203] Hydrophobicity-enhancing substituents include alkyls and halos. R1 and R2 may each independently be halo-containing substituents such as primary alkyl halide, secondary alkyl halide or tertiary alkyl halide moieties, which may also have additional, non-halo substituents or functional groups. Each substituent may include one or more of the same or different halogens. For example, R1 and R2 may each independently be a C1-3 alkyl substituted with one or more independently selected halo substituents such as fluoromethyl; difluoromethyl; trifluoromethyl; 2,2,2-trifluoroethyl; 1,1-difluoroethyl; or 3,3,3- 10934-1WO_LAMRP855WO trifluoropropyl groups. In some embodiments, R1 and R2 may each independently be a halo substituted aryl group such as 2-fluorophenyl, 4-fluorophenyl, 2-chlorophenyl, or 2,6- dichlorophenyl. In some embodiments, R1 and R2 may each independently be a halo substituted cyclyl group such as cycloalkanes. [0204] Halogen-containing monomers which may be utilized as starting materials to prepare the oxymethylene copolymers’ structures of the formula (II) containing fluoro substituents include pentafluoropropionaldehyde and 2-(trifluoromethyl) propionaldehyde. [0205] In some embodiments, one or two halo substituents may be carbon substituents of a single structure of Formula (II). Moreover, in a single structure of formula (II), R1 and R2 may each represent the same halo atom or different halo atoms such as fluoro-, chloro-, bromo- or iodo- directly substituted on the carbon backbone. [0206] In addition to the hydrophobicity of an SRP, crystallinity is another characteristic to take into consideration. Crystallinity can affect the performance of the SRP, as a more crystalline or semi-crystalline material may alter how contaminants leach through the protective layer for enabling surface protection. Crystallinity-reducing substituents may be long, bulky, large or hindered groups which introduce irregularity into the polymer. The selection of hindered or long-chain substituents can promote more amorphous oxymethylene polymer structures. Suitable hindered substituents may be moieties such as branched chain alkyls, cyclyls or aryls. Appropriate long-chain substituents may be long chain substituted or unsubstituted alkyl groups such as C6-20, C8-18 or C10-24 alkyl groups. In some embodiments, R1 and R2 may be linked to form a ring in the structure of formula (II) in order to facilitate disruption of crystallinity. [0207] In some embodiments, aliphatic aldehydes may be sources of the structures of formula (II). Aldehydes such as isobutyraldehyde, isovaleraldehyde, and cyclohexanecarboxaldehyde may appropriate monomers which may form oxymethylene copolymers which may be less crystalline than polyoxymethylene homopolymers. Other alternatives like aromatic aldehydes including hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde can be used to introduce irregularity to reduce crystallinity of the original polyoxymethylene. [0208] In some embodiments, the copolymer may be poly(formaldehyde-co- isobutyraldehyde), poly(formaldehyde-co-isovaleraldehyde), poly(formaldehyde-co- cyclohexanecarboxaldehyde), poly(formaldehyde-co-hydrocinnamaldehyde), 10934-1WO_LAMRP855WO poly(formaldehyde-co-octanal), poly(formaldehyde-co-p-tolualdehyde) or poly(formaldehyde-co-cinnamaldehyde). [0209] In some embodiments, the same substituent can be utilized to address both crystallinity and hydrophobicity. For example, a fluorinated aldehyde with a benzene ring or long large side chain such as trifluoromethyl benzaldehyde, 3-(2-fluorophenyl) propionaldehyde, pentafluorobenzaldehyde, and fluorobenzaldehyde may be useful for disrupting the crystallinity as compared to the homopolymer polyoxymethylene and simultaneously useful for increasing hydrophobicity as compared to the homopolymer polyoxymethylene. [0210] In some embodiments, the copolymer may be poly(formaldehyde-co- trifluoromethyl benzaldehyde), poly(formaldehyde-co-3-(2-fluorophenyl) propionaldehyde), poly(formaldehyde-co-pentafluorobenzaldehyde), or poly(formaldehyde-co- fluorobenzaldehyde). [0211] In a non-limiting instance, the SRP has a characteristic molecular weight (MW) that allows for filling of gaps, trenches, or features having minimized sizes that are difficult to address with traditional semiconductor processes. In another instance, the SRP allows for residue-free removal under mild conditions that do not damage sensitive substrates and surfaces. [0212] In any embodiment herein, the SRP may have a MW (e.g., a weight-average MW) of from about 250 g/mol to about 1500 kg/mol (e.g., from about 250 g/mol to 500 g/mol, 250 g/mol to 1000 g/mol, 250 g/mol to 2 kg/mol, 250 g/mol to 10 kg/mol, 250 g/mol to 50 kg/mol, 250 g/mol to 100 kg/mol, 250 g/mol to 250 kg/mol, 250 g/mol to 500 kg/mol, 250 g/mol to 750 kg/mol, 250 g/mol to 1000 kg/mol, 500 g/mol to 1000 g/mol, 500 g/mol to 2 kg/mol, 500 g/mol to 10 kg/mol, 500 g/mol to 50 kg/mol, 500 g/mol to 100 kg/mol, 500 g/mol to 250 kg/mol, 500 g/mol to 500 kg/mol, 500 g/mol to 750 kg/mol, 500 g/mol to 1000 kg/mol, 500 g/mol to 1500 kg/mol, 1 kg/mol to 2 kg/mol, 1 kg/mol to 10 kg/mol, 1 kg/mol to 50 kg/mol, 1 kg/mol to 100 kg/mol, 1 kg/mol to 250 kg/mol, 1 kg/mol to 500 kg/mol, 1 kg/mol to 750 kg/mol, 1 kg/mol to 1000 kg/mol, and 1 kg/mol to 1500 kg/mol). [0213] In any embodiment herein, the SRP may have a ceiling temperature less than about 300°C. In particular embodiments, the ceiling temperature is less than about 300°C, 250°C, 200°C, 150°C, 100°C, 90°C, 80°C, 75°C, 60°C, 50°C, 40°C, 30°C, 25°C, 20°C, 15°C, 10°C, 5°C, 0°C, -10°C, -20°C, -30°C, -40°C, -50°C, or less. 10934-1WO_LAMRP855WO [0214] In any embodiment herein, the SRP may have a ceiling temperature of from about -50°C to about 300°C. In particular embodiments, the ceiling temperature is from about -50°C to 250°C, -50°C to 200°C, -50°C to 150°C, -50°C to 100°C, -50°C to 50°C, -50°C to 30°C, -50°C to 10°C, -50°C to 0°C, -50°C to -10°C, -50°C to -20°C, -50°C to -30°C, -50°C to -40°C, -40°C to 250°C, -40°C to 200°C, -40°C to 150°C, -40°C to 100°C, -40°C to 50°C, -40°C to 30°C, -40°C to 10°C, -40°C to 0°C, -40°C to -10°C, -40°C to -20°C, -40°C to -30°C, -30°C to 250°C, -30°C to 200°C, -30°C to 150°C, -30°C to 100°C, -30°C to 50°C, -30°C to 30°C, -30°C to 10°C, -30°C to 0°C, -30°C to -10°C, -30°C to -20°C, -20°C to 250°C, -20°C to 200°C, -20°C to 150°C, -20°C to 100°C, -20°C to 50°C, -20°C to 30°C, -20°C to 10°C, -20°C to 0°C, -20°C to -10°C, -10°C to 250°C, -10°C to 200°C, -10°C to 150°C, -10°C to 100°C, -10°C to 50°C, -10°C to 30°C, -10°C to 10°C, and -10°C to 0°C. [0215] In any embodiment herein, the SRP, SRP layer, or SRP film can be removed by exposure to one or more stimuli. Non-limiting stimulus can include exposure to heat, a temperature ramp (e.g., ramping of from about 1°C/min to about 200°C/sec, in which such ramping can include decreasing or increasing the temperature), ultraviolet (UV) light (e.g., with or without vacuum; optionally at a temperature of from about 30°C to about 700°C; and/or optionally at a dosage of from about 0.1 mW/cm2 to about 15 W/cm2), one or more metastable neutrals (e.g., atoms from a noble gas plasma), acidic or basic chemistries (e.g., as vapors and optionally at a temperature of from about 20°C to about 200°C), and/or plasma. In particular embodiments, exposure to heat can include a constant temperature. In other embodiments, exposure to heat can include any useful temperature profile with any useful temperature ramp rates (e.g., of increasing or decreasing temperature) and any useful temperature holds. Exposure to UV light can optionally include vacuum and can include any useful temperature (e.g., from about 20°C to about 700°C, such as about 20°C to 100°C, 20°C to 200°C, 20°C to 300°C, 20°C to 400°C, 20°C to 500°C, 20°C to 600°C, 30°C to 100°C, 30°C to 200°C, 30°C to 300°C, 30°C to 400°C, 30°C to 500°C, 30°C to 600°C, 30°C to 700°C, 40°C to 100°C, 40°C to 200°C, 40°C to 300°C, 40°C to 400°C, 40°C to 500°C, 40°C to 600°C, 40°C to 700°C, 50°C to 100°C, 50°C to 200°C, 50°C to 300°C, 50°C to 400°C, 50°C to 500°C, 50°C to 600°C, or 50°C to 700°C). [0216] In any embodiment herein, the SRP, SRP layer, or SRP film is configured to reduce permeation of one or more reactive airborne molecules to a surface of a feature or a substrate. [0217] In any embodiment herein, the SRP, SRP layer, or SRP film is configured to block one or more reactive sites disposed on a surface of a feature or a substrate. Additional 10934-1WO_LAMRP855WO embodiments are described herein. [0218] In some embodiments, the SRP is not a copolymer of just two components, but instead a three-or-more component oxymethylene polymer. In some embodiments, the oxymethylene terpolymers contain repeating units of (a) formula (I); (b) formula (II) and (c) a third repeating unit having the structure of formula (II) but different R1 and R2 substituents from the repeating unit (b) are envisaged. For example, in some embodiments, the first type of formula (II) repeating units (b) may be include one or more halo-containing substituents; while the second type of formula (II) repeating units (c) may include non-halo-containing substituents. In some embodiments, the first type of formula (II) repeating units (b) may be include one or more hydrophobicity-enhancing substituents; while the second type of formula (II) repeating units (c) may include crystallinity-reducing substituents. [0219] Suitable terpolymers may include at least one repeating unit of formula (I), at least one repeating unit of formula (II) and at least one repeating unit of formula (III)
Figure imgf000043_0001
wherein R3 and R4 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R3 and R4 may be linked to form a ring, with a proviso that R3 and R4 are not both hydrogens; and p is an integer from 10 to 1,000,000. In other embodiments, p may be from about 2 to about 100 (e.g., from about 2 to 10, 2 to 15, 2 to 20, 2 to 25, 2 to 30, 2 to 40, 2 to 50, 2 to 75, 4 to 10, 4 to 15, 4 to 20, 4 to 25, 4 to 30, 4 to 40, 4 to 50, 4 to 75, and 4 to 100). [0220] In some embodiments, R3 and R4 may each independently be substituted or unsubstituted C1-C24 alkyl, C1-C24 alkoxy, C2-C24 alkenyl, C2-C24 alkynyl, C6-C10 heteroaryl, C3-C10 cycloalkyl, C3-C10 cycloalkenyl, C3-C10 heterocyclylalkyl, or C3-C10 heterocyclylalkenyl; and when substituted, R3 and R4 may each independently be substituted with C1-C24 alkyl, C1-C24 alkoxy, C2-C24 alkenyl, C2-C24 alkynyl, C6-C10 aryl, C6-C10 heteroaryl, aldehyde, amino, sulfonic acid, sulfinic acid, fluoroacid, phosphonic acid, ether, halo, hydroxy, ketone, nitro, cyano, azido, silyl, sulfonyl, sulfinyl or thiol. [0221] In some embodiments, the terpolymer has a structure of formula (I) is derived from formaldehyde; a structure of formula (II) derived from isovaleraldehyde, isobutyraldehyde, 10934-1WO_LAMRP855WO cyclohexanecarboxaldehyde, hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde; and a structure of formula (III) derived from pentafluoropropionaldehyde, 2- (trifluoromethyl)propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, or fluorobenzaldehyde. [0222] In some embodiments, the terpolymer may be formaldehyde-isovaleraldehyde- pentafluoropropionaldehyde terpolymer; formaldehyde-isobutyraldehyde-2- (trifluoromethyl)propionaldehyde terpolymer; formaldehyde-octanal- pentafluoropropionaldehyde; formaldehyde-cyclohexanecarboxaldehyde- pentafluorobenzaldehyde terpolymer or formaldehyde-cinnamaldehyde-fluorobenzaldehyde terpolymer. [0223] In any embodiment herein, the SRP may be an oxymethylene copolymer that includes structures of formulas (I), (II) and optionally (III) or a salt thereof. [0224] In any embodiment herein, the SRP may be a mixture of oxymethylene polymers. The mixture may include one or more copolymers; one or more terpolymers; or a mixture of copolymers and terpolymers. In any embodiment, the mixture may also include homopolymers or non-oxymethylene containing polymers. In some embodiments, homopolymers with oxymethylene-containing backbones and hydrophobicity enhancing and/or crystallinity reducing substituents are also envisaged. [0225] Mixtures include, but are not limited to: two oxymethylene copolymers such as poly(formaldehyde-co- trifluoromethyl benzaldehyde)/poly(formaldehyde-co- isovaleraldehyde); two oxymethylene copolymers and POM such as poly(formaldehyde-co- trifluoromethyl benzaldehyde)/poly(formaldehyde-co-isovaleraldehyde)/poly(formaldehyde); a copolymer and a terpolymer such as poly(formaldehyde-co-isovaleraldehyde)/ formaldehyde-cyclohexanecarboxaldehyde-pentafluorobenzaldehyde terpolymer; two copolymers and a tepolymer such as poly(formaldehyde-co- trifluoromethyl benzaldehyde)/poly(formaldehyde-co-isovaleraldehyde)/formaldehyde-isobutyraldehyde-2- (trifluoromethyl)propionaldehyde terpolymer; or two copolymers, a terpolymer and POM such as poly(formaldehyde-co- trifluoromethyl benzaldehyde)/poly(formaldehyde-co- isovaleraldehyde)/formaldehyde-isobutyraldehyde-2-(trifluoromethyl)propionaldehyde terpolymer/poly(formaldehyde). [0226] In some embodiments, the SRP layer may be a mixture of oxymethylene polymers in a blend or a stack of layered polymers. For example, the initial layer of polymer can be 10934-1WO_LAMRP855WO chosen so that it is readily removable, leaves behind no residue, and does not modify the surface. Then, other layers composed of different polymers can be deposited on top of the first polymer that improve hermeticity. [0227] For example, POM may be dry deposited first, directly applied to the substrate. Then an intermediate oxymethylene copolymer layer may be deposited over the POM layer. A top layer of a different oxymethylene copolymer may be deposited over the intermediate oxymethylene copolymer layer. The formation of the layers of SRPs may be applied by a chemical vapor deposition process. [0228] It may be advantageous to utilize a more amorphous copolymer, such as the oxymethylene copolymers described above, with another layer composed of an oxymethylene copolymer having fluoro substitutents or an oxymethylene copolymer having hindered substituents or long chain substituents stacked on top of it. [0229] In principle, multiple layers of polymers can be used and the sequences of the layers may affect the final surface protection. Alternating polymer films within the stack may be suitable wherein some of the oxymethylene polymers in the stack are optimized to protect against water permeation, and others against oxygen permeation. [0230] The selection of particular layers may take into consideration the type of substrate, type of process, type of process conditions, length of time for protection, ease or difficulty of removal. A single layer may include just one polymer such an oxymethylene copolymer formed from two monomers, POM or an oxymethylene copolymer formed from three monomers (terpolymer). In some embodiments, a single layer may include more than one polymer such as a mixture of copolymers. In some embodiments, two or more layers of SRPs are applied. In certain embodiments, three, four or five layers of SRPs are applied. [0231] In some embodiments, the SRPs may be low ceiling temperature (Tc) polymers. Tc is the equilibrium temperature between a polymer and its monomers. As used herein, the term low Tc refers to Tc values below a removal temperature. In some embodiments, the Tc is below room temperature, such that the polymers are thermodynamically unstable at room temperature. Instead, the low Tc polymer is kinetically trapped to allow prolonged storage at room temperature. In some examples, the stable storage period is on the order of months or years. Low Tc polymers will rapidly de-polymerize to its monomer constituents if an end- group or main chain bond is broken. Thus, the polymer de-polymerizes in response to stimuli such as ultraviolet (UV) light, heat, thermal catalyst, photocatalyst, or an acidic/basic catalyst. 10934-1WO_LAMRP855WO The monomer products are volatile and leave or can be easily removed from the surface and chamber. [0232] While in some embodiments, the Tc is below room temperature, in the context of semiconductor processing, low Tc may also refer to ceiling temperatures that are higher than room temperature. For example, removal temperatures of up to 400°C may be used, meaning that the ceiling temperature is below 400°C. [0233] Examplary SRPs are provided above. In particular embodiments, they may be self- immolative polymers as described in U.S. Patent Publication No. 2018/0155483, which was published on June 7, 2018 and which is hereby incorporated herein by reference in its entirety. [0234] Yet other SRPs can include those having a structure of one of formulas (I), (II) and optionally (III). Such SRPs can be a linear polymer or a cyclic polymer. If linear, the polymer can include any useful end groups that terminate the molecule. Such end groups can depend on the reactive end groups present on the monomers employed to synthesize the polymer. In particular embodiments, end groups can include those fragments formed from use of an anionic initiator (e.g., fragments such as alkyl anion, e.g., present in n-BuLi, s-BuLi, etc.), from use of an acylation or alkylation reagent (e.g., fragments such as acyl or optionally substituted alkanoyl, such as formyl, acetyl, benzoyl, methyl, ethyl, etc.), from use of a conjugated alkylene monomer (e.g., such as a quinone methide monomer), or from use of an alcohol termination agent (e.g., fragments such as optionally substituted alkoxy). The end groups can include any useful binding group or a reactive group (e.g., those including optionally substituted trialkylsiloxy, optionally substituted alkenyl, optionally substituted aryl, etc.). [0235] In particular embodiments, the SRP is formed with no unwanted side products. In this way, residue-free vaporization of the polymer can be achieved because side products need not be removed. For removal, scission of one (or few) chemical bonds within the SRP propagates full, rapid depolymerization of the polymer. Since all the bonds are the same (no inadvertent impurities), little or no residue is expected. [0236] The SRP, or a formulation thereof, can be deposited in any useful manner. For instance, the SRP can be spin-coated or vapor deposited. Formulations, including solvents and additives [0237] The SRP can be provided as a formulation having a solvent or a solvent combination when a wet deposition process is utilized to apply the SRP. In one embodiment, the formulation includes about 0.1 wt.% to about 50 wt.% of one or more SRPs (e.g., about 5 wt.% to 20 wt.%), 10934-1WO_LAMRP855WO with the balance being the solvent. Example solvents include diglyme (bis ether), tetrahydrofuran, N-methyl-pyrrolidone, dimethylformamide, propylene carbonate, cyclopentanone, anisole, dichlorobenzene, and propylene glycol methyl ether acetate. [0238] Formulations can include one or more further additives selected from a plasticizer, an organic acid having a pKa more than or equal to 1 and less than 7, a photocatalyst (e.g., a photoacid generator or a photobase generator), a thermal catalyst (e.g., a thermal acid generator or a thermal base generator), and/or a dye. The amount of additive can include about 0.001 wt.% to about 25 wt.% of a single additive, as well as a combination of additives in an amount of about 0.001 wt.% to about 25 wt.%. [0239] In some embodiments, the SRP and the additive(s) (e.g., any herein) may be formulated and stored as separate solutions but mixed together at point of deposition onto the wafer, or at some point relatively shortly beforehand. In some embodiments, the SRP and additive(s) may be provided as a powder to be mixed in the solvent before spin coating. The SRP and additive (either singularly or together) may be provided with a relative wt.% of at least 5:1 SRP:additive, or at least 10:1, or 20:1. [0240] Plasticizers can be employed to promote plasticity or flexibility in the film. Non- limiting plasticizers can include adipates, alkylene glycol dibenzoates, dialkyl phthalates, trialkyl trimellitates, tertiary amines, quaternary ammonium compounds, azelates, citrates, ether-esters, polyethers, glutarates, glycols, isobutyrates, maleates, phosphates, phosphonium compounds, organophosphates, sebacates, sulfonamides, sulfonium compounds, as well as ionic liquids, surfactants, and acid amplifiers, or a combination thereof. [0241] The low Tc copolymers can be formulated with weak acids that create stable films under ambient conditions, as well as exhibit accelerated degradation characteristics, as compared to the neat, unformulated copolymer in a solvent. Specific examples of acids with this behavior include weak organic acids (e.g., having a pKa that is more than or equal to 1). Yet other acids include tartaric acid, oxalic acid, and acetic acid. [0242] Other examples include linear alkyl carboxylic acids, CXH2XO2, where X is an integer, and the corresponding dicarboxylic acid variants. Particular examples include including methanoic acid (X=1) and acetic acid (X=2). Particular examples of dicarboxylic acids include ethanedioic acid and propanedioic acid. The organic weak acid may also be variants of any of these with additional alcohol substitutions and/or unsaturated bonds. For example, oxoethanoic acid, 2-hydroxyethanoic acid, prop-2-enoic acid, 2-propynoic acid, 2- 10934-1WO_LAMRP855WO hydroxypropanedioic acid, oxopropanedioic acid, 2,2-dihydroxypropanedioic acid, 2- oxopropanoic acid, 2-hydroxypropanoic acid, 3-hydroxypropanoic acid, 2,3- dihydroxypropanoic acid, etc., may be used. [0243] Yet other organic acids could exhibit similar abilities. The low Tc copolymer may be pre-formulated with the appropriate acid prior to tool installation, and then spin-coated onto the substrates for sacrificial bracing or surface protection applications. Alternatively, the low Tc polymer may be mixed with the acid at point of use, right before spin-coating. This approach may be used to prolong the shelf-life of the copolymer formulation, since although it is stable in film form (solid state), it may not be stable in solution once contacted with the acid. In some embodiments, the formulation is provided as about 5–20 wt.% SRP and < 1 wt.% organic weak acid, with the balance being the solvent. [0244] The formulation, and thus the resultant film, can include a photoacid generator (PAG), in which exposure of the SRP to electromagnetic radiation produces acid. In this way, energetic light (e.g., UV light, IR lights, or x-rays) exposure generates acid to promote in situ degradation of the film. Non-limiting photoacid generators include onium salts, such as iodonium and sulfonium salts having perfluorinated anions (e.g., diaryliodonium and triarylsulfonium salts), bissulfonyldiazomethane compounds, N-sulfonyloxydicarboximide compounds, and O-arylsulfonyloxime compounds. The photoacid generator may optionally include a photosensitizer (e.g., having modified polyaromatic hydrocarbons or fused aromatic rings). [0245] Other acid generators can be used, such as a thermal acid generator that releases acidic moieties upon exposure to heat. In this way, depolymerization of the SRP can include both thermal and acidic processes. Non-limiting thermal acid generators include ammonium salts, sulfonyl esters, and acid amplifiers. Substrates and features [0246] The SRPs, and methods herein can be used with HAR features and related processes. For instance, wet processes such as etch and clean, which may make up greater than 25% of the overall process flow, can particularly challenging on HAR features due to the capillary forces that are generated during drying. The strength of these capillary forces can depend on the surface tension and contact angle of the etch, clean, or rinse fluids that are being dried, as well as the feature spacing and aspect ratio. If the forces generated during drying are too high, then the HAR features will collapse onto each other and stiction may occur. Feature 10934-1WO_LAMRP855WO collapse and stiction will severely degrade the device yield. Thus, in one aspect, the SRPs herein can be employed to reduce collapse of such structures. [0247] In one example, a method for bracing HAR structures using an SRP includes providing HAR structures with a solvent. The substrate may be provided, for example, after a wet etch or cleaning operation and have solvent associated with the prior operation. In some embodiments, the solvent, i.e., to be disposed by the SRP, may be a transitional solvent if the prior solvent is not chemically compatible with the SRP solution. [0248] The SRP can be disposed on any useful substrate or surface. The surface may be a planar surface or include one or more pillars, holes, gaps, and trenches, including HAR structures. Yet other surfaces can include those on devices, such as electronic components, printed circuit boards, packages, and others. Examples of substrate surfaces include silicon, silicon germanium, and germanium structures such as fins and nanowires, metal-containing surfaces including but not limited to those containing copper, cobalt, titanium, titanium nitride, tungsten or molybdenum, tin, metal oxides and/or other structures and materials. Apparatus [0249] The removal processes described may be implemented in a chamber which may be part of a substrate processing system. The substrate processing system may further include one or more additional substrate processing tools used to process substrates including deposition of SRPs and upstream and downstream processing. [0250] Referring now to FIG. 5, a substrate processing system 500 includes one or more substrate processing tools 502 (substrate processing tools 502a and 502b are shown for illustration purposes) and substrate buffer 530 or other substrate storage. Each of the substrate processing tools 502a and 502b includes a plurality of processing chambers 504a, 504b, 504c, etc. (collectively processing chambers 504). For example only, each of the processing chambers 504 may be configured to perform a substrate treatment. In some examples, the substrates may be loaded into one of the processing chambers 504, processed, and then moved to one or more other ones of the processing chambers 504 and/or removed from the substrate processing tool 500 (e.g., if all perform the same treatment). [0251] Substrates to be processed are loaded into the substrate processing tools 502a and 502b via ports of a loading station of an atmosphere-to-vacuum (ATV) transfer module 508. In some examples, the ATV transfer module 508 includes an equipment front end module (EFEM). The substrates are then transferred into one or more of the processing chambers 504. 10934-1WO_LAMRP855WO For example, a transfer robot 512 is arranged to transfer substrates from loading stations 516 to load locks 520. A vacuum transfer robot 524 of a vacuum transfer module 528 is arranged to transfer substrates from the load locks 520 to the various processing chambers 504. [0252] After processing in one or more of the substrate processing tools 502a and 502b, the substrates may be transported outside of a vacuum environment. For example, the substrates may be moved to a location for storage (such as the substrate buffer 530). In other examples, the substrates may be moved directly from the substrate processing tool to another substrate processing tool for further processing or from the storage buffer 530 to another substrate processing tool for further processing. [0253] Exposure of the substrate to ambient conditions may cause defects or otherwise adversely impact downstream processing. A sacrificial protective layer including an SRP can be added to the substrate prior to exposure to ambient conditions. In some examples, the sacrificial protective layer is applied in the substrate processing tool prior to transferring the substrate to the substrate buffer for storage or to another substrate processing tool. In other examples, the sacrificial protective layer is applied in another processing chamber (not associated with the substrate processing tool). [0254] Prior to performing another treatment on the substrate, the sacrificial protective layer is removed as described herein. For example, the substrate may be transferred to the substrate processing tool 502b after a period of storage in the storage buffer 530 or after processing in the substrate processing tool 502a. The sacrificial protective layer may be removed in one of the processing chambers in the substrate processing tool 502b, or another processing chamber (not associated with the substrate processing tool 502b). In some embodiments, the sacrificial protective layer is removed in a load lock 520. [0255] In some examples, the sacrificial protective layer is applied by a processing chamber in the same substrate processing tool (that performed substrate treatment) prior to exposure to ambient conditions. Since the substrate processing tool operates at vacuum, exposure of the substrate to ambient conditions is prevented. In some examples, the sacrificial layer is deposited after a wet clean process. In this case, oxides and residues may be removed by the wet clean process and the sacrificial layer is deposited in sequence prior to drying the wafer or immediately after drying the wafer. In some examples, this process is not done under vacuum and is done without any exposure of the dry pristine surface to the ambient. In other examples, the substrate is transported from the substrate processing tool to another processing 10934-1WO_LAMRP855WO chamber located outside of the substrate processing tool that adds the sacrificial protective layer. Using this approach limits or reduces the period of exposure of the substrate to ambient conditions. Exposure is limited to a brief period of transport from the substrate processing tool to the processing chamber where the sacrificial protective layer is applied. Storage of the substrate may be performed for longer periods without additional exposure to ambient conditions. Subsequently, the sacrificial protective layer may be removed prior to further processing. In some examples, the sacrificial protective layer is removed in another substrate processing tool under vacuum conditions prior to substrate treatment in processing chambers of the same substrate processing tool. In other examples, the substrate is transported to a processing chamber that removes the sacrificial protective layer and then to the substrate processing tool for further processing. This approach also limits exposure to ambient conditions between the processing chamber and the substrate processing tool or other environment. In one example, the sacrificial protective layer is formed immediately after etch, deposition, or other process by exposing the substrate to a small molecule vapor that condenses on the surface to form a film. This can be performed directly inside the tool in which the etch or deposition occurred (e.g., substrate processing tool 502a) and may occur in the same processing chamber in which the etch or deposition occurred. The substrate is then taken to the next tool for processing (e.g., substrate processing tool 502b). Once the substrate is again no longer exposed to ambient conditions (for example by bringing the substrate under vacuum or an atmosphere purged with an inert gas), vacuum and compounds, and in some cases, other stimuli, as described above are applied to induce the film to degrade and be removed from the substrate. This may take place inside of a processing chamber as described above (e.g., process chamber 504a of substrate processing chamber 502b). [0256] Referring now to FIG. 6, a substrate processing chamber 600 for adding and removing the sacrificial protective layer is shown. A substrate processing chamber 604 includes a substrate support 608 that supports a substrate 610. A heater 622 may be used to heat the substrate support 608. In some examples, the heater 622 includes one or more resistive heaters. In other examples, coolant may be used alone or in combination with the heater 622 to heat and/or cool the substrate support 608. A motor 612 may be used to rotate the substrate support 608. [0257] A fluid delivery system 624 includes one or more fluid sources 626-1, 626-2, …, and 626-N (collectively fluid sources 626). The fluid sources 626 may supply liquid such as a solution that will form the sacrificial protective layer, a solution that will form the catalyst 10934-1WO_LAMRP855WO and/or other solutions. In some examples, a vapor source 634 including an ampoule or bubbler (not shown) can be used to supply a vapor such as HBr vapor via a valve 638. Outputs of the fluid sources 626 are delivered by valves 628-1, 628-2, …, and 628-N (collectively valves 628) and a valve 630 to the processing chamber 604. [0258] A valve 652 and a pump 654 may be used to control pressure in the processing chamber 604 and/or to evacuate reactants from the processing chamber 604 as needed. A pressure sensor 658 may be used to sense pressure within the processing chamber 604. One or more light sources such as ultraviolet (UV) light sources 660 may be used to expose the substrate during processing. A controller 670 may be used to control the valve 652 and the pump 654, the valve 630, the valve 638, the fluid delivery system 624, the motor 612, and/or other components of the processing chamber 600. In some examples, the controller 670 controls pressure within the processing chamber based on feedback from sensors such as pressure sensors, temperature sensors and the like. [0259] In various embodiments, a system controller is employed to control process conditions during processing including during the SRP removal. The controller will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. [0260] The controller may control all the activities of a removal apparatus. The system controller executes system control software, including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, wafer chuck or pedestal position, plasma power, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments. [0261] Typically, there will be a user interface associated with the controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc. [0262] System control logic may be configured in any suitable way. In general, the logic can be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by “programming.” Such programming is understood to include logic of any form, 10934-1WO_LAMRP855WO including hard coded logic in digital signal processors, application-specific integrated circuits, and other devices which have specific algorithms implemented as hardware. Programming is also understood to include software or firmware instructions that may be executed on a general purpose processor. System control software may be coded in any suitable computer readable programming language. [0263] The computer program code for controlling the reactant pulses and purge gas flows and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded. [0264] The controller parameters relate to process conditions, such as, for example, process gas composition and flow rates, temperature, pressure, substrate temperature, and plasma power. These parameters are provided to the user in the form of a recipe and may be entered utilizing the user interface. [0265] Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the system. [0266] The system software may be designed or configured in many ways. [0267] For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the deposition processes in accordance with the disclosed embodiments. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code. [0268] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of 10934-1WO_LAMRP855WO processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system. [0269] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0270] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. The parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by 10934-1WO_LAMRP855WO comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber. [0271] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an ALD chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. [0272] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. [0273] The controller may include various programs. A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition, flow rates, pulse times, and optionally for flowing gas into the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas such as helium to the wafer chuck. A plasma power program may control plasma power. [0274] Examples of chamber sensors that may be monitored during removal include mass flow controllers, pressure sensors such as manometers, and thermocouples located in the 10934-1WO_LAMRP855WO pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions. [0275] The foregoing describes implementation of disclosed embodiments in a single or multi-chamber semiconductor processing tool. The apparatus and process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step provided with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma- assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. Conclusion [0276] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. Further, while the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims

10934-1WO_LAMRP855WO CLAIMS What is claimed is: 1. A method for protecting a surface of a semiconductor substrate comprising: applying an oxymethylene copolymer to a semiconductor substrate in a processing chamber; and forming a protective oxymethylene copolymer layer on the surface of the semiconductor substrate; wherein the oxymethylene copolymer comprises a first structure of formula
Figure imgf000057_0001
(I), and at least one second structure of formula
Figure imgf000057_0002
wherein R1 and R2 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens; n is an integer from 10 to 1,000,000; and m is an integer of from 10 to 1,000,000. 2. The method of claim 1, wherein an optionally substituted alkyl comprises substituted branched chain alkyl, unsubstituted branched chain alkyl, substituted cyclyl or unsubstituted cyclyl. 10934-1WO_LAMRP855WO 3. The method of claim 2, wherein the substituted branched chain alkyl comprises a halo-substituted branched chain alkyl. 4. The method of claim 2, wherein the substituted cyclyl comprises a halo-substituted cyclyl. 5. The method of claim 1, wherein an optionally substituted aryl comprises substituted phenyl, unsubstituted phenyl, substituted benzyl or unsubstituted benzyl. 6. The method of claim 5, wherein the substituted benzyl comprises a halo-substituted benzyl. 7. The method of claim 1, wherein applying comprises supplying monomers to the processing chamber to form the protective oxymethylene copolymer layer on the surface of the semiconductor substrate in situ by vapor deposition. 8. The method of claim 7, wherein the monomers comprise formaldehyde, trioxane, isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, 3- methylcyclohexanecarbaldehyde, 2-methylbutyraldehyde, hydrocinnamaldehyde, p- tolualdehyde, benzaldehyde, retinaldehyde, vanillin, cinnamaldehyde or a combination thereof. 9. The method of claim 7, wherein the monomers comprise pentafluoropropionaldehyde, 2-(trifluoromethyl) propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, fluorobenzaldehyde or a combination thereof. 10. The method of claim 1, wherein the oxymethylene copolymer comprises an oxymethylene copolymer comprising one second structure of formula (II). 11. The method of claim 10, wherein the oxymethylene copolymer comprises a copolymer of (i) formaldehyde and (ii) isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde. 10934-1WO_LAMRP855WO 12. The method of claim 10, wherein the oxymethylene copolymer comprises a copolymer of (i) formaldehyde and (ii) pentafluoropropionaldehyde, 2- (trifluoromethyl)propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, or fluorobenzaldehyde. 13. The method of claim 1, further comprising a removal of the protective oxymethylene copolymer layer from the semiconductor substrate after forming the protective oxymethylene copolymer layer. 14. The method of claim 13, wherein the removal comprises exposing the semiconductor substrate to a stimulus to remove the protective oxymethylene copolymer layer. 15. The method of claim 14, wherein the stimulus comprises heat, ultraviolet light, metastable neutrals, acidic/basic chemistries, plasma or a combination thereof. 16. A method for protecting a surface of a semiconductor substrate comprising: applying an oxymethylene terpolymer to a semiconductor substrate in a processing chamber; and forming a protective oxymethylene terpolymer layer on the surface of the semiconductor substrate; wherein the oxymethylene terpolymer comprises a first structure of formula
Figure imgf000059_0001
, a
Figure imgf000059_0002
R2 (II); and 10934-1WO_LAMRP855WO a third structure of formula R3
Figure imgf000060_0001
each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R1 and R2 may be linked to form a ring, with a proviso that R1 and R2 are not both hydrogens; wherein R3 and R4 are each independently hydrogen, optionally substituted alkyl, optionally substituted alkoxy, optionally substituted alkenyl, optionally substituted heteroalkyl, optionally substituted alkenyl, optionally substituted aryl, halo or R3 and R4 may be linked to form a ring, with a proviso that R3 and R4 are not both hydrogens; n is an integer from 10 to 1,000,000; m is an integer of from 10 to 1,000,000; and p is an integer from 10 to 1,000,000. 17. The method of claim 16, wherein the oxymethylene terpolymer comprises a terpolymer of (i) formaldehyde; (ii) isovaleraldehyde, isobutyraldehyde, cyclohexanecarboxaldehyde, hydrocinnamaldehyde, p-tolualdehyde, or cinnamaldehyde; and (iii) pentafluoropropionaldehyde, 2-(trifluoromethyl)propionaldehyde, trifluoromethylbenzaldehyde, pentafluorobenzaldehyde, or fluorobenzaldehyde. 18. The method of claim 16, wherein applying comprises supplying monomers to the processing chamber to form the protective oxymethylene terpolymer layer on the surface of the semiconductor substrate in situ by vapor deposition. 19. A method for protecting a surface of a semiconductor substrate comprising: 10934-1WO_LAMRP855WO applying at least two different oxymethylene polymers to a semiconductor substrate in a processing chamber; and forming a multi-layer protective film on the surface of the semiconductor substrate from layers of oxymethylene polymers; and wherein the at least two different oxymethylene polymers comprise oxymethylene copolymers or oxymethylene terpolymers or a combination thereof. 20. The method of claim 19, wherein the at least two different oxymethylene polymers are pre-blended before applying the at least two different oxymethylene polymers. 21. The method of claim 19, wherein the at least two different oxymethylene polymers are applied sequentially. 22. The method of claim 19, wherein the at least two different oxymethylene polymers comprise an oxymethylene copolymer and an oxymethylene terpolymer.
PCT/US2023/034707 2022-10-10 2023-10-06 Oxymethylene copolymers for transient surface protection during chemical vapor deposition WO2024081174A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263378925P 2022-10-10 2022-10-10
US63/378,925 2022-10-10

Publications (1)

Publication Number Publication Date
WO2024081174A1 true WO2024081174A1 (en) 2024-04-18

Family

ID=90669969

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2023/034707 WO2024081174A1 (en) 2022-10-10 2023-10-06 Oxymethylene copolymers for transient surface protection during chemical vapor deposition

Country Status (1)

Country Link
WO (1) WO2024081174A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573201B1 (en) * 1998-06-17 2003-06-03 Ebara Corporation Method and apparatus for protection of substrate surface
US20080004203A1 (en) * 2005-04-14 2008-01-03 Scheuing David R Polymer-Fluorosurfactant Associative Complexes
JP2020187368A (en) * 2016-11-11 2020-11-19 住友ベークライト株式会社 Method of manufacturing semiconductor device
WO2022006349A1 (en) * 2020-07-02 2022-01-06 Lam Research Corporation Removable cvd polymer film for surface protection and queue period extension
WO2022026323A1 (en) * 2020-07-28 2022-02-03 Lam Research Corporation Low ceiling temperature homopolymers as sacrificial protection layers for environmentally sensitive substrates

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573201B1 (en) * 1998-06-17 2003-06-03 Ebara Corporation Method and apparatus for protection of substrate surface
US20080004203A1 (en) * 2005-04-14 2008-01-03 Scheuing David R Polymer-Fluorosurfactant Associative Complexes
JP2020187368A (en) * 2016-11-11 2020-11-19 住友ベークライト株式会社 Method of manufacturing semiconductor device
WO2022006349A1 (en) * 2020-07-02 2022-01-06 Lam Research Corporation Removable cvd polymer film for surface protection and queue period extension
WO2022026323A1 (en) * 2020-07-28 2022-02-03 Lam Research Corporation Low ceiling temperature homopolymers as sacrificial protection layers for environmentally sensitive substrates

Similar Documents

Publication Publication Date Title
KR102503837B1 (en) Formation of SiN thin films
TWI712107B (en) Feature fill with nucleation inhibition
TWI602283B (en) Tungsten feature fill
TW201837979A (en) Semiconductor processing apparatus
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20210143055A1 (en) Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
TWI695903B (en) Methods for selective deposition of metal silicides via atomic layer deposition (ald) cycles
TW202146687A (en) Process for deposition of titanium oxynitride for use in integrated circuit fabrication
JP2016127285A (en) Isotropic atomic layer etching for silicon oxides using no activation
TWI687994B (en) Methods for etching via atomic layer deposition (ald) cycles
KR20140009169A (en) Atomic layer deposition of photoresist materials and hard mask precursors
TW202129049A (en) Molybedenum fill
KR20220076488A (en) Substrate Surface Modification Using High EUV Absorbers for High Performance EUV Photoresists
US20230295412A1 (en) Low ceiling temperature homopolymers as sacrificial protection layers for environmentally sensitive substrates
TW201436033A (en) Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US11107699B2 (en) Semiconductor manufacturing process
US20220362803A1 (en) SELECTIVE ATTACHMENT TO ENHANCE SiO2:SiNx ETCH SELECTIVITY
WO2022056160A1 (en) Temporary capping material for oxide prevention in low temperature direct metal-metal bonding
WO2022016124A1 (en) Photoresists containing tantalum
KR20170039573A (en) Silicide phase control by confinement
WO2024081174A1 (en) Oxymethylene copolymers for transient surface protection during chemical vapor deposition
US20230386831A1 (en) Selective deposition of metal oxides using silanes as an inhibitor
US20190148140A1 (en) Dry and wet etch resistance for atomic layer deposited tio2 for sit spacer application
WO2023069120A1 (en) In situ declogging in plasma etching
US20090197421A1 (en) Chemistry and compositions for manufacturing integrated circuits