WO2023285025A1 - Systems and methods for tiling a substrate with oddly-shaped patterns - Google Patents

Systems and methods for tiling a substrate with oddly-shaped patterns Download PDF

Info

Publication number
WO2023285025A1
WO2023285025A1 PCT/EP2022/065033 EP2022065033W WO2023285025A1 WO 2023285025 A1 WO2023285025 A1 WO 2023285025A1 EP 2022065033 W EP2022065033 W EP 2022065033W WO 2023285025 A1 WO2023285025 A1 WO 2023285025A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
tile
array
optical
tiles
Prior art date
Application number
PCT/EP2022/065033
Other languages
French (fr)
Inventor
Jasper WINTERS
Erwin John Van Zwet
Marcus Johannes VAN DER LANS
Pieter Willem Herman De Jager
Emiel Anton VAN DE VEN
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2023285025A1 publication Critical patent/WO2023285025A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning

Definitions

  • the description herein relates generally to patterning processes. More particularly, the disclosure includes apparatuses, methods, and computer program products for efficient tiling of patterns printed by lithography systems.
  • a lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a pattern corresponding to an individual layer of the IC (“design layout”) can be transferred onto a target portion (e.g., comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device.
  • a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus may also be referred to as a stepper.
  • a step-and-scan apparatus can cause a projection beam to scan over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
  • the substrate Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • post-exposure procedures such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device.
  • the whole procedure, or a variant thereof, is repeated for each layer.
  • a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a patterning step such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices, and 2D and/or 3D additive manufacturing.
  • MEMS micro-electro mechanical systems
  • RET resolution enhancement techniques
  • projection optics may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly.
  • the term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus.
  • Projection optics may include optical components for shaping, adjusting and/or projecting radiation from the source before the radiation passes the patterning device, and or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device.
  • the projection optics generally exclude the source and the patterning device.
  • a system can include optical columns each having micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array; and a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
  • At least one of the optical columns in a column of the two-dimensional array has a first shift in a row direction and optionally at least one of the optical columns in a row of the two-dimensional array has a second shift in a column direction.
  • the two-dimensional array can include two optical columns in a column direction and two optical columns in a row direction.
  • the system can be configured to print a two- dimensional tile array in one or more of the optical columns and may be configured to print at least four tiles per optical column.
  • the system can also be configured to print the tiles to have some overlap between edges of at least two tiles.
  • the system can be configured to manufacture flat-panel displays or computer chips.
  • a method for improving throughput in a lithographic process including scanning a substrate below optical columns including micro-lens arrays utilized to print tiles onto the substrate; and delivering light via the micro-lens array to the substrate to print a two-dimensional tile array in a row direction and a column direction.
  • Some variations can include performing a first shifting of a tile of the two-dimensional tile array in a row direction to increase an overlap between the tile and an adjacent tile in an adjacent column and/or performing a second shifting of the tile of the two-dimensional tile array in a column direction to decrease the overlap between the tile and the adjacent tile.
  • Similar variations can include nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven.
  • Other variations can include shifting a tile of the two-dimensional tile array opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate. For example, the shifting can occur every fourth tile in the row direction.
  • Figure 1 is a simplified diagram illustrating an exemplary lithography system, according to an embodiment.
  • Figure 2 is a simplified diagram illustrating an exemplary lithography system and method for printing a pattern to a scanned substrate, according to an embodiment.
  • Figure 3 is a simplified diagram illustrating tolerances provided by an exemplary overlap between tracks printed by a lens array, according to an embodiment.
  • Figure 4 is a simplified diagram illustrating determination of a pitch and a scan angle, according to an embodiment.
  • Figure 5 is a simplified diagram illustrating creation of a pattern at different times during a scanning process, according to an embodiment.
  • Figure 6 is a simplified diagram illustrating a completed scanning pattern, according to an embodiment.
  • Figure 7 is a simplified diagram depicting an example of a tile arrangement that reduces unwanted overlap, according to an embodiment.
  • Figure 8 is a simplified diagram illustrating several examples of stitching together multiple tiles, according to various embodiments.
  • Figure 9 is a simplified diagram illustrating several examples of optical column layouts, according to various embodiments.
  • Figure 10 is a simplified diagram depicting an example of spacings between optical columns, according to an embodiment.
  • Figure 11 is a simplified diagram depicting a pattern having skewness, according to various embodiments.
  • Figure 12 is a simplified diagram depicting a shifted pattern with reduced skewness, according to various embodiments.
  • Figure 13 is a block diagram of an example computer system, according to an embodiment.
  • Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • Figure 15 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
  • Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
  • Figure 17 is a detailed view of the source collector module of the lithographic projection apparatus, according to an embodiment.
  • substrate describes a material that light can be directed to as part of a manufacturing process.
  • substrate can include a photoresist, wafer, flat panel display, slurry with photo-sensitive material and particles of (e.g., metal or other functional material), etc.
  • patterning process or “printing” means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process.
  • a patterning process can also include any process involving the delivery of light in manufacturing, for example in the development of flat screen devices or integrated circuits.
  • a lithographic system and apparatus a lithographic method, a programmable patterning device and other apparatuses, articles of manufacture and methods are described herein.
  • a low cost and/or flexible maskless lithographic apparatus is provided.
  • no conventional mask is needed to expose, for example, ICs or flat panel displays.
  • the programmable patterning device can provide digital edge-processing “rings” for packaging applications to avoid edge projection.
  • Maskless digital patterning
  • various embodiments of the disclosed systems and methods allow for increasing the tolerances for alignment/positioning of lens arrays as used in lithographic manufacturing.
  • FIG. 1 is a simplified diagram illustrating an exemplary lithography system, according to an embodiment.
  • lithographic apparatus 100 can include a patterning device 110 and a projection system 150.
  • Patterning device 110 can include light source 112 (such as a laser diode as discussed herein) and a mirror array 140.
  • Mirror array 140 can receive light (also referred to herein as radiation beam or beam 114) from light source 112 and cause beam 114 to laterally displace in the X- and/or Y-directions.
  • the patterning device 110 may include lens 130 to image the radiation beam 114 from the light source 112 to mirror array 140.
  • the deflected beam 114 from mirror array 140 can be received by projection system 150.
  • Projection system 150 can include objective lens 152, lens array 154, and any number of other lenses or lens arrays for performing focusing, magnification, aberration correction, etc.
  • Objective lens 152 can be arranged to receive the beam 114 from patterning device 110. In the example of FIG. 1, beam 114 diverges from objective lens 152 and is received by lens array 154, which can include any number of individual lenses. Lens array 154 can then focus beam 114 onto substrate 160. As described further herein, substrate 160 can move relative to lithographic apparatus 100 as illustrated by the arrow.
  • a method of performing lithography can include illuminating mirror array 140 with beam 114.
  • Mirror array 140 can include a number of mirrors that receive the light. The light can be imaged onto substrate 160 to create a pattern.
  • light source 112 there can be a single light source 112 for mirror array 140.
  • light source 112 can comprise multiple light sources (e.g., 2, 3, 6, 10, etc.) that are combined to provide illumination.
  • light source 112 can be toggled on and off with a toggle frequency corresponding to an adjustment frequency of mirror array 140. For example, if mirror array 140 is able to change its configuration 30 times a second (e.g., 1/60 second stationary and 1/60 second for adjustment), then light source 112 can be operated to turn on and off such that mirror array 140 is not illuminated during its 1/60 second adjustment period.
  • one or more laser diodes can act as light source 112 and can be controlled to emit the light, for example at the toggle frequency or pattern, or continuously.
  • a lithography system can include multiple light sources (illustrated collectively in Fig. 1 as light source 112) configured to generate light having multiple light amplitudes. The light can then form a pattern at a mirror array configured to direct the light with the pattern to a substrate.
  • multiple light sources illustrated collectively in Fig. 1 as light source 112
  • the light can then form a pattern at a mirror array configured to direct the light with the pattern to a substrate.
  • the lithographic apparatus can comprise a radiation system (not shown) to supply radiation (e.g., ultraviolet (UV) radiation) to the plurality of light sources 112.
  • radiation e.g., ultraviolet (UV) radiation
  • the patterning device is a radiation source itself, e.g. a laser diode array or a LED array
  • the lithographic apparatus can be designed without a radiation system, i.e. without a radiation source other than the patterning device itself, or at least a simplified radiation system.
  • the radiation system can include an illumination system (illuminator) configured to receive radiation from a radiation source.
  • the illumination system can include one or more of the following elements: a radiation delivery system (e.g., suitable directing mirrors), a radiation conditioning device (e.g., a beam expander), an adjusting device to set the angular amplitude distribution of the radiation (generally, at least the outer and/or inner radial extent (which can be referred to as s-outer and s- inner, respectively) of the amplitude distribution in a pupil plane of the illuminator can be adjusted), an integrator, and/or a condenser.
  • a radiation delivery system e.g., suitable directing mirrors
  • a radiation conditioning device e.g., a beam expander
  • an adjusting device to set the angular amplitude distribution of the radiation (generally, at least the outer and/or inner radial extent (which can be referred to as s-outer and s- inner, respectively
  • the illumination system can be used to condition the radiation provided to light sources 112 to have a desired uniformity and amplitude distribution in its cross- section.
  • the illumination system can be arranged to divide radiation into sub-beams that can, for example, each be associated with one or more of the plurality of light sources 112.
  • a two- dimensional diffraction grating can, for example, be used to divide the radiation into sub-beams.
  • the terms “beam of radiation,” “radiation beam,” and “beam” encompass, but are not limited to, the situation in which beam 114 is comprised of a plurality of such sub-beams of radiation.
  • the radiation system can also include a radiation source (e.g., an excimer laser) to produce the radiation for supply to or by light source 112.
  • a radiation source e.g., an excimer laser
  • the radiation source and the lithographic apparatus 100 can be separate entities, for example when the radiation source is an excimer laser. In such cases, the radiation source is not considered to form part of the lithographic apparatus 100 and the radiation is passed from the source to the illuminator. In other cases, the radiation source can be an integral part of the lithographic apparatus 100, for example when the source is a mercury lamp. Both of these scenarios are contemplated within the scope of the present invention.
  • the radiation source which in an embodiment can be light source 112
  • the radiation has a wavelength of at most 450 nm, e.g.
  • the radiation can have a wavelength including 436 nm, 405 nm, 365 nm, 355 nm, 248 nm, 193 nm, 157 nm, 126 nm, and or 13.5 nm. In an embodiment, the radiation can include a wavelength of around 365 nm or around 355 nm.
  • the radiation can include a broad band of wavelengths, for example encompassing 365 nm, 405 nm and 436 nm.
  • a 355 nm laser source can also be used.
  • the radiation can have a wavelength of about 405 nm.
  • radiation can be directed from the illumination system at the patterning device 110 at an angle between 0 and 90°, e.g. between 5 and 85°, between 15 and 75°, between 25 and 65°, or between 35 and 55°.
  • the radiation from the illumination system can be provided directly to the patterning device 110 (i.e. without relying on mirror array 140).
  • radiation can be directed from the illumination system to the patterning device 110 by means of a beam splitter configured such that the radiation is initially reflected by the beam splitter and directed to the patterning device 110.
  • the patterning device 110 can modulate beam 114 and reflect it back to the beam splitter which transmits the modulated beam toward the substrate 160.
  • an illumination system arrangement may not be required if a transmissive patterning device 110 (e.g. a LCD array) is used or the patterning device 110 is self-emissive (e.g., laser diodes).
  • a transmissive patterning device 110 e.g. a LCD array
  • the patterning device 110 is self-emissive (e.g., laser diodes).
  • lithography apparatus 100 can also be used to expose a resist on a substrate or used to project a patterned beam 114 for use in resistless lithography.
  • mirror array 140 can be provided that directs beam 114 to the desired position.
  • beam 114 can be directed by mirror array 140 to spots on substrate 160 that need to be exposed.
  • mirror array 140 can be configured to deflect beam 114 in both X- and Y-directions to position the spot on a pixel on the substrate. When a portion of beam 114 is not needed, it can be deflected towards a beam dump.
  • mirror array 140 can facilitate deflection of beam 114 from light source 112 in the X- and/or Y-direction. In other words, this type of mirror array 140 can point the beam 114 towards a specific location on lens array 154 and thereby to substrate 160. In an embodiment, mirror array 140 can deflect the radiation in only the Y-direction or only the X- direction. In an embodiment, the mirror array 140 can deflect the radiation in both X- and Y- directions.
  • mirror array 140 can be a mechanical (i.e., galvanometer-type), an electro optic, and or acousto-optic mirror array.
  • a mechanical mirror array tends to provide the largest number of resolvable radiation spots (i.e., a resolvable spot means that the beam is deflected by an angle equal to its own angular spread) but tends to be slowest in terms of spot scan rate.
  • An electro optic mirror array tends to be the fastest in terms of spot scan rate but tends to have the smallest number of resolvable radiation spots.
  • the mirror array 140 can be an electro-optical mirror array.
  • An electro-optical mirror array can provide a switching speed of up to a few nanoseconds.
  • the electro-optical mirror array can provide deflection angles of +/-15 degrees. In an embodiment, this can yield about 600 radiation spots for an input beam divergence of 0.05 degrees.
  • use of an electro-optical mirror array can avoid having a fast moving mechanical part for radiation deflection.
  • the electro-optical mirror array can include an optically transparent piezo material.
  • beam 114 can be steered due to a potential difference applied over the piezo material.
  • the index of refraction of the material changes, which changes the direction of beam propagation (i.e., the radiation beam can be deflected).
  • the material is selected from the following: LiNb03, LiTa03, KthPO ⁇ KDP), or NH4H2PO4 (ADP).
  • LiTaOs is transparent at the 405 nm wavelength.
  • Projection system 150 e.g., a quartz and/or CaF2lens system or a catadioptric system comprising lens elements made from such materials, or a mirror system
  • Projection system 150 can be used to project beam 114 onto of substrate 160.
  • Projection system 150 can project a first greyscale pattern provided by light source 112 and mirror array 140 such that the first greyscale pattern is coherently formed on the substrate 160.
  • the projection system can include a focusing element, or a number of focusing elements (herein referred to generically as a mirror array or lens array 154) e.g., a micro-lens array 154 (MLA) or a Fresnel lens array 154, to form the secondary sources and to image spots onto substrate 160.
  • a focusing element or a number of focusing elements (herein referred to generically as a mirror array or lens array 154) e.g., a micro-lens array 154 (MLA) or a Fresnel lens array 154, to form the secondary sources and to image spots onto substrate 160.
  • MLA micro-lens array 154
  • Fresnel lens array 154 Fresnel lens array
  • the light can be imaged onto a lens array 154 that includes lenses that focus the light onto substrate 160.
  • substrate 160 can be illuminated multiple times at a location to deliver a total light amplitude.
  • lens array 154 (e.g., a MLA) can include at least 10 focusing elements, e.g. at least 100 focusing elements, at least 1,000 focusing elements, at least 10,000 focusing elements, at least 100,000 focusing elements, or at least 1,000,000 focusing elements.
  • the number of lenses in the lens array 154 can be equal to the number or mirrors in mirror array 140.
  • the lens array 154 is movable at least in the direction to and away from substrate, e.g. with the use of one or more actuators. Being able to move the lens array 154 to and away from substrate allows, e.g., for focus adjustment without having to move substrate 160.
  • individual lens element in the lens array 154 for instance each individual lens element in the lens array 154, are movable at least in the direction to and away from the substrate (e.g., for local focus adjustments on non-flat substrates or to bring each optical column into the same focus distance).
  • any of the lenses in lens array 154 can focus light onto a spot on the substrate.
  • spot means an area on the substrate where the light from one or more lenses reaches substrate 160.
  • the spot can be, but is not necessarily, a focal point of any particular lens.
  • a spot can correspond to a waist of a Gaussian beam.
  • a spot may be circular, elliptical, or any other shape as a result of the distance, angle, etc. of the substrate and the beam, the shape of any lenses, beam blocks with shaped apertures, etc.
  • a spot size can be 10 microns or less, 5 microns or less, e.g., 3 microns or less, 2 microns or less, 1 micron or less, 0.5 micron or less, 0.3 micron or less, or about 0.1 micron.
  • spot size on the substrate is 0.1 micron or more, 0.2 micron or more, 0.3 micron or more, 0.5 micron or more, 0.7 micron or more, 1 micron or more, 1.5 microns or more, 2 microns or more, or 5 microns or more.
  • a spot size is about 0.1 micron.
  • a spot size is about 0.5 micron.
  • a spot size is about 1 micron.
  • Such sizes can be applied to a diameter, major or semi-major axis, or other relevant dimension of the spot.
  • the lithographic apparatus can include a controller that generates signals to set the patterning device to the requisite state at each stage during the exposure process.
  • the pattern to be formed on the substrate can be provided to the lithographic apparatus in a vector-defined format e.g., GDSII.
  • the controller can include one or more data manipulation devices that can be configured to perform a processing step on a data stream that represents the pattern.
  • the data manipulation devices can collectively be referred to as the “datapath.”
  • the data manipulation devices of the datapath can be configured to perform one or more of the following functions: converting vector-based design information into bitmap pattern data; converting bitmap pattern data into a required radiation dose map (namely a required radiation dose profile across the substrate); converting a required radiation dose map into required radiation amplitude values for each light source; and converting the required radiation amplitude values for each light source into corresponding control signals.
  • control signals can be supplied to the light sources 112 and/or one or more other devices (e.g., a mirror array and or sensor) by wired or wireless communication. Further, signals from the light sources 112 and/or from one or more other devices (e.g., a mirror array and or sensor) can be communicated to the controller.
  • power can be supplied to the light sources 112 or one or more other devices (e.g., a mirror array and or sensor) by wired or wireless means.
  • power can be supplied by one or more lines, whether the same as the ones that carry the signals or different.
  • a sliding contact arrangement can be provided to transmit power.
  • power can be delivered by RF coupling.
  • control signals supplied to the light sources 112 and/or one or more other devices e.g., a mirror array and or a sensor
  • communication can be one-way (e.g., only to or from the light sources 112 and/or one or more other devices (e.g., a mirror array and or sensor)) or two-way (i.e., from and to the light sources 112 and/or one or more other devices (e.g., a mirror array and/or sensor)).
  • control signals to provide the pattern can be altered to account for factors that can influence the proper supply and or realization of the pattern on the substrate. For example, a correction can be applied to the control signals to account for the heating of one or more of the light sources 112, lenses, etc. Such heating can cause changed pointing direction of the light sources 112, lenses, etc., change in uniformity of the radiation, etc.
  • a measured temperature and/or expansion/contraction associated with light sources 112 and or other element from, e.g., a sensor can used to alter the control signals that would have been otherwise provided to form the pattern.
  • the control signals can be altered to account for such variance.
  • results from the alignment sensor and or the level sensor 150 can be used to alter the pattern provided by the light sources 112.
  • the pattern can be altered to correct, for example, distortion, which can arise from, e.g., optics (if any) between the light sources 112 and the substrate 160, irregularities in the positioning of the substrate 160, unevenness of the substrate 160, etc.
  • substrate 160 can be moved accurately, e.g., so as to position different target portions in the path of beam 114.
  • a positioning device for light sources 112 can be used to accurately correct the position of light sources 112 with respect to the path of beam 114, e.g., during a scan.
  • some embodiments can include a control system that controls a scanning of a substrate relative to the MLA or other components of the optical column described herein (e.g., having any combination of components in FIG. 1). The control system can thus provide two-dimensional horizontal translations of the substrate, a vertical translation, or a rotation of the substrate, in any combination.
  • FIG. 2 is a simplified diagram illustrating an exemplary lithography system and method for printing a pattern to a scanned substrate.
  • the lithographic apparatus 100 can project the radiation of the light sources 112 onto the substrate in a manner that does not form individual pixels for projection onto the substrate but rather a substantially continuous image for projection onto the substrate.
  • the lithographic apparatus 100, particularly the light sources 112 can be arranged to provide pixel-grid imaging as described in more detail herein.
  • the spots 210 would appear to have an overlap based on the separation of lenslets in the micro-lens array.
  • a printed pattern can be created with sufficient overlap of the spot sizes to avoid gaps in printing.
  • the filled in circles in the lower diagram of FIG. 2 represent the array of spots S (or 310 in the side view) projected onto substrate 160 by lens array 154 in projection system 150.
  • Substrate 160 is moved relative to projection system 150 in the X-direction as a series of exposures are exposed on the substrate.
  • the open circles represent spot exposures SE (or 210 in the side view) that may have previously been exposed on substrate 160.
  • each spot projected onto substrate 160 by lens array 154 within projection system 150 exposes a row R of spot exposures on substrate 160.
  • the complete pattern for the substrate 160 is generated by the sum of all the rows R of spot exposures SE exposed by each of spots S.
  • pixel grid imaging Such an arrangement can be referred to as “pixel grid imaging.” It will be appreciated that FIG. 2 is a schematic drawing and that spots S can overlap in practice, for example as discussed further herein.
  • the array of radiation spots S can be arranged at an angle a relative to the substrate scanning direction (the edges of the substrate 160 lie parallel to the X- and Y-directions). This is done so that, when substrate 160 is moved in the scanning direction (the X-direction), each radiation spot will pass over a different area of the substrate, thereby allowing the entire substrate to be covered by the array of radiation spots S.
  • the angle a can be approximately 80°, 75°, 60°, 45°, 30°, 20°, 10°, 5°, 3°, 1°, 0.5°, 0.25°, 0.10°, 0.05°, or 0.01°. In an embodiment, the angle a is at least 0.0001°, e.g.
  • the angle of inclination a and the width of the array in the scanning direction are determined in accordance with the image spot size and array spacing in the direction perpendicular to the scanning direction to ensure the whole surface area of the substrate 160 is addressed.
  • the angle also referred to as a scan angle, can be approximately 45° due to the exemplary mirror arrays presented.
  • the term “approximately” with regard to the scan angle means that the angle may not be exactly a particular angle but may, in practice, be slightly different due to the geometric details of the system. Accordingly, such numbers are given primarily for illustrative purposes and it should be understood that a person of skill would realize that exact value will vary.
  • FIG. 3 is a simplified diagram illustrating tolerances provided by an exemplary overlap between tracks printed by a lens array, according to an embodiment.
  • focused light that is projected onto the substrate while it is being scanned relative to a lens array (equivalently referred to herein as a micro-lens array or MLA) can trace out a number of “tracks” 310 on the substrate.
  • the tracks can be where light of varying intensities is provided to the substrate for the lithographic process.
  • the lithographic system can be configured to provide an overlap 320 such that the lenslets (individual lenses of an MLA) can deliver light to desired portions of the substrate without having gaps.
  • the term “track width” 330 refers to the spot size (e.g., diameter) at the substrate. As seen from FIG. 3, when the printing is done in such a way that there is some overlap between tracks, this width is referred to as “overlap” 340.
  • the term “track pitch” 350 refers to the remaining width of the track (i.e., “track width” - “overlap”). From FIG. 3, it can be seen that the overlap also represents the tolerance between tracks such that there are no spaces or gaps between them. However, due to the very small spot size and other limits of certain high-precision manufacturing processes, the tolerance for deviations in track position or angle of scanning relative to a substate may be problematically small.
  • FIG 4 is a simplified diagram illustrating determination of a pitch and a scan angle, according to an embodiment.
  • the pitch of the MFA denoted dx and shown in the top panel, can be a function of lenslets in vertical direction n y , trackwidth and overlap, and the desired lenslet against which the first track is placed.
  • the first track is touching the lenslet of one row up and one column to the right, resulting in close to 45° scan angle.
  • FIG. 5 is a simplified diagram illustrating creation of a pattern at different times during a scanning process, according to an embodiment. To further illustrate an example of how a pattern is formed by the scanning of the substrate relative to the MLA, FIG. 5 shows a scanning process at three points in time.
  • the top panel illustrates an initial pattern delivered to a substrate 510.
  • the pattern is being shown as delivered by six adjacent MFAs 520.
  • the elongated depiction of the MFAs represents that an MFA may be, for example, a two-dimensional array of 2560 x 100 lenslets.
  • the pattern is printed directly underneath the lenslets.
  • the substrate has scanned up into the right, at approximately a 45° scan angle previously depicted in FIG. 4.
  • the tracks have begun to form due to the light delivered by the MFAs.
  • the tracks printed by adjacent MFA’s have not come into contact or generally overlap with each other.
  • the edges of the printed pattern have gaps or spaces where there is simply no following track to fill them in. Such gaps and spaces do not exist in the middle portions of the printed pattern due to the previously described overlap between tracks.
  • the scan angle need not be at 45°.
  • the scan angle is a complicated function of the size of the spot at the substrate and any desired degree of overlap. These details are discussed further herein but it should be stressed that no example given need be limiting in its exact design.
  • scan angles can be approximately 0°, 10°, 20°, 30°, 45°, 60°, 80°, or 90°. Variation around a particular specified scan angle can be based on permissible angular tolerances, for example, variations of 1 to 5 microradians (prad), 6 to 10 prad, or less than 20 prad.
  • the substrate has scanned even further, to the point where the tracks due to adjacent MLAs meet, and even overlap to an extent.
  • the printing process results in a pattern that is substantially filled with the desired light delivered to the substrate but which includes (again not depicted in FIG. 5) regions around the edges that may include gaps in coverage.
  • the tracks are somewhat interwoven. This “stitching region” 540 is described further herein, with particular reference to FIGs. 7 and 8.
  • Figure 6 is a simplified diagram illustrating a completed scanning pattern 610, according to an embodiment.
  • the exemplary pattern shown in FIG. 6 depicts an area of full scan coverage.
  • This pattern can also be referred to in certain embodiments as a “tile,” as certain lithographic processes may provide for the printing of multiple tiles by numerous similar groups of MLAs.
  • the pattern outline has a slightly different shape than the one apparent from what was shown in FIG. 5. Again, this is because this completed pattern depicts only the region where there were no gaps between tracks.
  • the edges of pattern 610 have what is referred to herein as sawtooth-shaped regions. These regions are a natural consequence of the printing method previously discussed. The exact shape of the sawtooth shaped regions will vary depending on the particular MLA geometry and system setup. For example, if MLAs of different array sizes are used, or a different scan angle is used, or the separation between MLAs varies, these can ah affect the details of the printed pattern.
  • FIG. 7 is a simplified diagram depicting an example of a tile arrangement that reduces unwanted overlap, according to an embodiment.
  • embodiments of the lithography system can be configured to print the tiles to have some overlap between edges of at least two tiles.
  • the amount of overlap can be optimized to have a lithography system that uses a reduced or optimized amount of space while avoiding excessive or unneeded overlap of tiles.
  • FIG. 7 Simplified examples of possible tile arrangements are depicted in FIG. 7, depicting two tiles of what could be part of a two-dimensional array of tiles, as described further herein.
  • the arrangement on the left is a simple leftward shift of one tile. As shown by the dark region in the middle of the arrangement, this can result in a significant amount of overlap of the sawtooth portions of the title. However, this shift can be combined with another vertical shift to arrive at the configuration shown on the right where the sawtooth portions are substantially interwoven and overlap between the two tiles reduced, while still avoiding gaps between the tiles.
  • overlap between tiles can be optimized by performing a first shifting of a tile 710 of a two-dimensional tile array of tiles in a row direction (e.g., horizontal in the figure) to increase an overlap 730 between the tile and an adjacent tile 720 in an adjacent column. Additionally, the system can perform a second shifting of the tile of the two-dimensional tile array in a column direction (e.g., vertical in the figure) to decrease the overlap between the tile and the adjacent tile.
  • a row direction e.g., horizontal in the figure
  • the system can perform a second shifting of the tile of the two-dimensional tile array in a column direction (e.g., vertical in the figure) to decrease the overlap between the tile and the adjacent tile.
  • the term “column” and “row” are sometimes used with their conventional meanings.
  • the diagram of FIG. 7 depicts two tiles in a single row, so the arrangement has two columns and one row.
  • the shifts described above can similarly be described as nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven.
  • the particular geometry that dictates the shifts or nesting can be based on the scan angle such that the flat surfaces of the sawtooth portions are made to substantially abut each other.
  • some embodiments may have a small vertical portion on the boundary of the tile. This can be a result of the particular printing geometry and may not be present in all embodiments.
  • the tiles can be arranged such that for two adjacent tiles, the angled portions (due to the scan angle) and the horizontal portions (due to the start of an MLA) can be made to abut. This may result in a small amount of overlap where a tip of a sawtooth overlaps with a region near the small vertical boundary, however, such overlap is generally small and permissible.
  • Figure 8 is a simplified diagram illustrating several examples of stitching together multiple tiles, according to various embodiments.
  • irregularly shaped tiles can result from the disclosed pixel grid imaging techniques.
  • these tiles should be delivered to the substrate as efficiently as possible. This can include utilizing the disclosed systems and methods to reduce unnecessary overlap between tiles and to utilize the available optical hardware to most effectively print to the substrate.
  • Effective printing can include optimizing the planned arrangement of tiles such that movement of the substrate is minimized or otherwise optimized to improve manufacturing throughput.
  • FIG. 8 Illustrated in FIG. 8 are three exemplary tiles arrays (as could be printed to a substrate).
  • the top portion depicts a 3 x 1 (rows, columns) tile array 810
  • the middle portion depicts a 1 x 3 tile array 820
  • the bottom portion depicts a 2 x 2 tile array 830.
  • the one-dimensional arrays in the top and middle portions of FIG. 8 can be utilized in certain embodiments, as explained further below, they may not be optimal for printing with some embodiments of the technologies disclosed herein.
  • the two-dimensional tile array 830 in the bottom portion of FIG. 8 may have advantages over one-dimensional arrangements.
  • one-dimensional refers to an array (of tiles or optical columns, explained further below) in either a single row or a single column. This can include having dimensions of l x 2, 2 x 1, l x 3, 3 x 1, l x 4, 4 x 1, and so on.
  • the term “two- dimensional” refers to an array where there is more than one tile (or optical column) in a row and in a column. Example dimensions that are considered “two-dimensional” can include, 2 x 2, 2 x 3, 3 x 2, 3 x 3, and so on.
  • a two-dimensional array has N x M elements where N > 1 and M > 1, but N may or may not be equal to M depending on the embodiment.
  • FIG. 9 is a simplified diagram illustrating several examples of optical column layouts, according to various embodiments.
  • a portion of the optical system (including possibly any combination of lenses, DMDs, MLAs, etc.) can be arranged in what is referred to herein as an “optical column”.
  • FIG. 1 A simplified example is shown in FIG. 1 where it can be seen that with the exception of the light source and the first lens that the depicted optical elements are generally in a column.
  • Other embodiments have the light source and the major components of the printing system arranged in a columnar manner more than what is shown in FIG. 1 (e.g., the light source being generally vertically above DMD 140, but with other optics such as mirrors or lenses to equivalently direct light to the DMD for delivery to the substrate in a manner similar to that shown).
  • a simple approximation of the extents of an optical column can be seen in FIG. 5 by the arrangement of the MLAs 520.
  • the extents can be considered the boundary of a shape that encloses the MLAs.
  • the extent of the “optical column” is at least the size of the largest optical element in the system.
  • the optical column has a particular footprint in the manufacturing set up.
  • FIG. 9 depicts collections of tiles as shown in the three examples of FIG. 8, but with corresponding optical columns superimposed as they may be arranged to print the given collections.
  • FIG. 9 show the optical column arrangement for the corresponding exemplary one-dimensional tile arrays of FIG. 8. It can be seen that there is generally a poor matching between the optical columns 910, 920 and the one-dimensional tile arrays 810, 820. Such setups would necessitate fairly long translations of the substrate in order to print various tiles. Furthermore, it can be seen that the edges around the optical columns can have significant empty space. Also, ID arrangements can result in overly-limited space for the mounting of optical columns. [0090] The bottom portion of FIG. 9 depicts an improved arrangement of optical columns 930 for printing an optimized tile arrangement 830 as depicted in FIG. 8. The depicted system is one example of such that has improved lithographic printing throughput.
  • the optical columns can each include micro-lens arrays to print tiles onto a substrate.
  • the optical columns can be disposed in a two-dimensional array, with a 2 x 2 example shown where the two-dimensional array can include two optical columns in the column direction and two optical columns in the row direction.
  • the system can be configured to print a depicted two-dimensional tile array in one or more of the optical columns. This example shows that the depicted system can be configured to print at least four tiles per optical column.
  • At least one of the optical columns in a column of the two-dimensional array can have a first shift in a row direction.
  • at least one of the optical columns in a row of the two- dimensional array can have a second shift in a column direction.
  • Various implementations can also include a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
  • the control system can thus cause translations and rotations of the substrate underneath the optical columns to not only perform the pixel grid imaging described herein, but also to print the tile arrays for each of the optical columns.
  • a method for utilizing such a system to improve throughput in a lithographic process can include scanning a substrate below optical columns that include MLAs utilized to print tiles onto the substrate. Light can also be delivered to the substrate via the MLA to print a two-dimensional tile array in a row direction and a column direction.
  • the column direction is that depicted as being the direction between adjacent MLAs in the optical column (see the top portion of FIG. 5).
  • the row direction is perpendicular to the column direction, thus the horizontal direction in the figures.
  • Such conventions are useful for explaining the various shifts in arrangements of the optical columns and tile printing methods disclosed herein.
  • the disclosed improvements and optimization to printing processes and hardware arrangements are thus applicable to systems that can be configured to manufacture computer chips, flat panel displays, etc.
  • FIG. 10 is a simplified diagram depicting an example of spacings between optical columns, according to an embodiment.
  • Various 2 x 2 tile arrays are shown and illustrated to have different patterns. In each pattern, a simplified depiction of the MLAs 520 are also shown.
  • the previously described “largest optical element” 1010 is depicted as surrounding the smaller grouping of MLAs.
  • the size of the optical column itself is shown by boundary 1020.
  • boundary 10 provides an exemplary embodiment where the two-dimensional array of optical columns has at least a 3 x 3 dimension of optical columns that provide sufficient spacing between the largest optical elements in their respective columns.
  • FIG. 11 is a simplified diagram depicting a pattern 1100 having skewness, according to various embodiments.
  • the tiling methods described herein provide optimized overlap to facilitate a space-saving arrangement of optical columns and the tiles that are printed by them.
  • the vertical shift described in FIG. 7 to reduce overlap when extended to an array with a large number of elements results in a skewness of the overall array of optical columns which may not be desired in a manufacturing setting.
  • This skewness is depicted by the large black rectangular boundary 1110 which presumably would contain a number of optical columns. Without correcting for skewness in the resulting patterns, this could again result in an inefficient use of space. It can be seen that the cause of the skewness is the downward drift of the printed patterns that results from the vertical shift.
  • FIG 12 is a simplified diagram depicting a shifted pattern with reduced skewness, according to various embodiments.
  • the depicted embodiment provides an example where the skewness is substantially corrected by shifting a tile 1220 (or multiple tiles) of the two-dimensional tile array 1200 opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate.
  • the small downward shift to reduce overlap was referred in earlier examples as the “column direction.”
  • the shift to correct skewness is in the opposite direction.
  • most adjacent tiles are shifted slightly. This is depicted by the small arrows inset.
  • the optical columns can be retained in a strict rectangular arrangement, for example as suggested by in FIG. 10.
  • the optical columns may have their centers shifted in accordance with the centers of the two-dimensional arrays that they are printing.
  • the 2 x 2 pattern in the upper left could have an optical column corresponding to its position.
  • the adjacent optical column to the right could have its center shifted in the column direction by two small shifts (the accumulated shift between the centers of the two-dimensional tile arrays).
  • the yet next optical column can have a shift corresponding to the large shift in the pattern that was provided to reduce skewness.
  • the outline of the space required by all optical columns may not be strictly rectangular but may have additional advantages by more naturally approximating the overall printed pattern delivered to the substrate.
  • Another way to describe this concept is to note that, in this example, every other two- dimensional tile array is essentially repeating. Thus, every other column of optical columns would be shifted relative to its neighbor.
  • the particular geometry used to reduce skewness may be very application specific. For example, rather than every other optical column having a corrective shift (i.e., columns 3 and 5, counting from the left of FIG. 12), it may be every column (or every third column, etc.) that is shifted to reduce the skewness.
  • the specific implementation can vary because the shift required to effectively minimize skewness is highly tied to the particulars of the tile geometry. For example, referring to the right portion of FIG. 7, careful inspection shows that the shift between tiles 710 and 720 is smaller than the height of a “tooth” (which roughly corresponds to the separation between MLAs). Accordingly, a particular number of downward shifts are needed before a large upward shift can occur to restore the skewness of the overall pattern.
  • the present disclosure provides methods and arrangements of lithographic systems that have row and/or column shifts of printed tile patterns and/or optical columns to provide the densest arrangement of printed tile patterns, given any combination of the constraints of minimized tile overlap, optical column minimum spacing and density, and optical column arrangement skewness.
  • Throughput can be tuned by optimizing the tile height and choosing the tessellation concept (i.e. N x M). Generally, a smaller tile means less processing time per tile, so where it is possible to choose a smaller tile this can improve throughput by avoiding tiles printing to areas where there actually is no substrate.
  • optimization can include factoring in the cost of a particular size optical column. This can provide a means of optimization for the cost of goods with constant throughput.
  • the optical columns can be the most expensive part of the tool, and in particular, the largest lens in the optical column. In this way, multiple smaller columns may therefore have a lower cost than a single larger optical column.
  • the system can determine an optimal size and tiling of the columns for a given use case. For such a minimization, the inputs can be the throughput, resolution, and cost.
  • Figure 13 is a block diagram of an example computer system CS, according to an embodiment.
  • Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with bus BS for processing information.
  • Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO.
  • Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor PRO.
  • Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO.
  • a storage device SD such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
  • Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display DS such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device ID is coupled to bus BS for communicating information and command selections to processor PRO.
  • cursor control CC such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM.
  • Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD.
  • Execution of the sequences of instructions contained in main memory MM causes processor PRO to perform the process steps described herein.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device SD.
  • Volatile media include dynamic memory, such as main memory MM.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge.
  • Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the features described herein.
  • Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS.
  • Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions.
  • the instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
  • Computer system CS may also include a communication interface Cl coupled to bus BS.
  • Communication interface Cl provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN.
  • communication interface Cl may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface Cl may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface Cl sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link NDL typically provides data communication through one or more networks to other data devices.
  • network link NDL may provide a connection through local network LAN to a host computer HC.
  • This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT.
  • Internet WorldNet Services Inc.
  • Internet both use electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network data link NDL and through communication interface Cl, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
  • Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CL
  • host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN and communication interface CL
  • One such downloaded application may provide all or part of a method described herein, for example.
  • the received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other non volatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
  • Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • the lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS.
  • Illumination system IL can condition a beam B of radiation.
  • the illumination system also comprises a radiation source SO.
  • First object table (e.g., patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS.
  • a patterning device MA e.g., a reticle
  • Second object table (substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS.
  • a substrate W e.g., a resist-coated silicon wafer
  • Projection system (“lens”) PS e.g., a refractive, catoptric or catadioptric optical system
  • a target portion C e.g., comprising one or more dies
  • the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device).
  • the apparatus may employ a different kind of patterning device to classic mask; examples include a programmable mirror array or LCD matrix.
  • the source SO e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source
  • the illuminator IL may comprise adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the amplitude distribution in the beam.
  • adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the amplitude distribution in the beam.
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam B impinging on the patterning device MA has a desired uniformity and amplitude distribution in its cross-section.
  • source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).
  • the beam PB can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning apparatus (and interferometric measuring apparatus IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of beam PB. Similarly, the first positioning apparatus can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan.
  • movement of the object tables MT, WT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning).
  • a stepper as opposed to a step-and-scan tool
  • patterning device table MT may just be connected to a short stroke actuator, or may be fixed.
  • the depicted tool can be used in two different modes, step mode and scan mode.
  • step mode patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single “flash”) onto a target portion C.
  • Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam PB.
  • FIG. 15 is a schematic diagram of another lithographic projection apparatus (LPA), according to an embodiment.
  • LPA lithographic projection apparatus
  • LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation), support structure MT, substrate table WT, and projection system PS.
  • a radiation beam B e.g. EUV radiation
  • support structure MT e.g. EUV radiation
  • substrate table WT e.g. EUV radiation
  • projection system PS e.g. EUV radiation
  • Support structure e.g. a patterning device table
  • MT can be constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
  • a patterning device e.g. a mask or a reticle
  • Substrate table e.g. a wafer table
  • WT can be constmcted to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate.
  • a substrate e.g. a resist coated wafer
  • Projection system e.g. a reflective projection system
  • PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • LPA can be of a reflective type (e.g. employing a reflective patterning device).
  • the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon.
  • the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • Illuminator IL can receive an extreme ultra violet radiation beam from source collector module SO.
  • Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • Source collector module SO may be part of an EUV radiation system including a laser for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • the laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
  • the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • Illuminator IL may comprise an adjuster for adjusting the angular amplitude distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the amplitude distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and amplitude distribution in its cross section.
  • the radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode.
  • step mode the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de- )magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. patterning device table) MT is kept essentially stationary holding a programmable patterning device, and substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
  • LPA can include the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure ES of the source collector module SO.
  • An EUV radiation emitting hot plasma HP may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma HP is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the hot plasma HP is created by, for example, an electrical discharge causing at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma HP is passed from a source chamber SC into a collector chamber CC via an optional gas barrier or contaminant trap CT (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber SC.
  • the contaminant trap CT may include a channel structure.
  • Contamination trap CT may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier CT further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber CC may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side US and a downstream radiation collector side DS. Radiation that traverses radiation collector CO can be reflected off a grating spectral filter SF to be focused in a v irtual source point IF along the optical axis indicated by the dot-dashed line O’.
  • the virtual source point IF can be referred to as the intermediate focus, and the source collector module can be arranged such that the intermediate focus IF is located at or near an opening OP in the enclosing structure ES.
  • the virtual source point IF is an image of the radiation emitting plasma HP.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA.
  • the grating spectral filter SF may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS.
  • Collector optic CO can be a nested collector with grazing incidence reflectors GR, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors GR are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
  • Figure 17 is a detailed view of source collector module SO of lithographic projection apparatus LPA, according to an embodiment.
  • Source collector module SO may be part of an LPA radiation system.
  • a laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma HP with electron temperatures of several 10's of eV.
  • Xe xenon
  • Sn tin
  • Li lithium
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths.
  • Emerging technologies already in use include EUV (extreme ultra violet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.
  • a system with improved lithographic printing throughput comprising: optical columns each including micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array; and a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
  • a method for improving throughput in a lithographic process comprising: scanning a substrate below optical columns including micro-lens arrays utilized to print tiles onto the substrate; and delivering light via the micro-lens array to the substrate to print a two-dimensional tile array in a row direction and a column direction.
  • a computer program product comprising a non- transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of the above method claims.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Systems and methods for improved lithographic printing throughput are disclosed. A system can include optical columns each including micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array. The system can also include a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.

Description

SYSTEMS AND METHODS FOR TILING A SUBSTRATE
WITH ODDLY-SHAPED PATTERNS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 21186152.1 which was filed on 16 July 2021, and which is incorporated herein in its entirety by reference.
TECHNICAL FIELD
[0002] The description herein relates generally to patterning processes. More particularly, the disclosure includes apparatuses, methods, and computer program products for efficient tiling of patterns printed by lithography systems.
BACKGROUND
[0003] A lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A pattern corresponding to an individual layer of the IC (“design layout”) can be transferred onto a target portion (e.g., comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device. In general, a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time. In one type of lithographic projection apparatuses, the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus may also be referred to as a stepper. In an alternative apparatus, a step-and-scan apparatus can cause a projection beam to scan over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
[0004] Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
[0005] Thus, manufacturing devices, such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process. A patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
[0006] As noted, lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices, and 2D and/or 3D additive manufacturing.
[0007] As semiconductor manufacturing processes continue to advance, the dimensions of functional elements have continually been reduced while the amount of functional elements, such as transistors, per device has been steadily increasing over decades, following a trend referred to as “Moore’s law.” At the current state of technology, layers of devices are manufactured using lithographic projection apparatuses that project a design layout onto a substrate using illumination from a deep-ultraviolet illumination source, creating individual functional elements having dimensions well below 100 nm, i.e. less than half the wavelength of the radiation from the illumination source (e.g., a 193 nm illumination source).
[0008] This process in which features with dimensions smaller than the classical resolution limit of a lithographic projection apparatus are printed, is can be referred to as low-kl lithography, according to the resolution formula CD = Mcl/NA, where l is the wavelength of radiation employed (e.g., 248 nm or 193 nm), NA is the numerical aperture of projection optics in the lithographic projection apparatus, CD is the “critical dimension”-generally the smallest feature size printed-and kl is an empirical resolution factor. In general, the smaller kl the more difficult it becomes to reproduce a pattern on the substrate that resembles the shape and dimensions planned by a designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine- tuning steps are applied to the lithographic projection apparatus, the design layout, or the patterning device. These include, for example, but not limited to, optimization of NA and optical coherence settings, customized illumination schemes, use of phase shifting patterning devices, optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). The term “projection optics” as used herein should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures and catadioptric optics, for example. The term “projection optics” may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly. The term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus. Projection optics may include optical components for shaping, adjusting and/or projecting radiation from the source before the radiation passes the patterning device, and or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device. The projection optics generally exclude the source and the patterning device.
SUMMARY
[0009] Methods, systems, and computer programs for improved lithographic printing throughput are disclosed. In one aspect a system can include optical columns each having micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array; and a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
[0010] In some variations, at least one of the optical columns in a column of the two-dimensional array has a first shift in a row direction and optionally at least one of the optical columns in a row of the two-dimensional array has a second shift in a column direction.
[0011] In other variations, the two-dimensional array can include two optical columns in a column direction and two optical columns in a row direction. The system can be configured to print a two- dimensional tile array in one or more of the optical columns and may be configured to print at least four tiles per optical column. The system can also be configured to print the tiles to have some overlap between edges of at least two tiles.
[0012] In any of the disclosed variations, the system can be configured to manufacture flat-panel displays or computer chips.
[0013] In an interrelated aspect, a method for improving throughput in a lithographic process is disclosed, the method including scanning a substrate below optical columns including micro-lens arrays utilized to print tiles onto the substrate; and delivering light via the micro-lens array to the substrate to print a two-dimensional tile array in a row direction and a column direction. [0014] Some variations can include performing a first shifting of a tile of the two-dimensional tile array in a row direction to increase an overlap between the tile and an adjacent tile in an adjacent column and/or performing a second shifting of the tile of the two-dimensional tile array in a column direction to decrease the overlap between the tile and the adjacent tile. Similar variations can include nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven.
[0015] Other variations can include shifting a tile of the two-dimensional tile array opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate. For example, the shifting can occur every fourth tile in the row direction.
BRIEF DESCRIPTION OF THE DRAWINGS
[0016] The accompanying drawings, which are incorporated in and constitute a part of this specification, show certain aspects of the subject matter disclosed herein and, together with the description, help explain some of the principles associated with the disclosed implementations. In the drawings,
[0017] Figure 1 is a simplified diagram illustrating an exemplary lithography system, according to an embodiment.
[0018] Figure 2 is a simplified diagram illustrating an exemplary lithography system and method for printing a pattern to a scanned substrate, according to an embodiment.
[0019] Figure 3 is a simplified diagram illustrating tolerances provided by an exemplary overlap between tracks printed by a lens array, according to an embodiment.
[0020] Figure 4 is a simplified diagram illustrating determination of a pitch and a scan angle, according to an embodiment.
[0021] Figure 5 is a simplified diagram illustrating creation of a pattern at different times during a scanning process, according to an embodiment.
[0022] Figure 6 is a simplified diagram illustrating a completed scanning pattern, according to an embodiment.
[0023] Figure 7 is a simplified diagram depicting an example of a tile arrangement that reduces unwanted overlap, according to an embodiment.
[0024] Figure 8 is a simplified diagram illustrating several examples of stitching together multiple tiles, according to various embodiments.
[0025] Figure 9 is a simplified diagram illustrating several examples of optical column layouts, according to various embodiments.
[0026] Figure 10 is a simplified diagram depicting an example of spacings between optical columns, according to an embodiment.
[0027] Figure 11 is a simplified diagram depicting a pattern having skewness, according to various embodiments. [0028] Figure 12 is a simplified diagram depicting a shifted pattern with reduced skewness, according to various embodiments.
[0029] Figure 13 is a block diagram of an example computer system, according to an embodiment. [0030] Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
[0031] Figure 15 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
[0032] Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
[0033] Figure 17 is a detailed view of the source collector module of the lithographic projection apparatus, according to an embodiment.
DETAILED DESCRIPTION
[0034] As used herein, the term “substrate” describes a material that light can be directed to as part of a manufacturing process. For example, substrate can include a photoresist, wafer, flat panel display, slurry with photo-sensitive material and particles of (e.g., metal or other functional material), etc.
[0035] As used herein, the term “patterning process” or “printing” means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process. A patterning process can also include any process involving the delivery of light in manufacturing, for example in the development of flat screen devices or integrated circuits.
[0036] One or more embodiments of a lithographic system and apparatus, a lithographic method, a programmable patterning device and other apparatuses, articles of manufacture and methods are described herein. In an embodiment, a low cost and/or flexible maskless lithographic apparatus is provided. As it is maskless, no conventional mask is needed to expose, for example, ICs or flat panel displays. Similarly, one or more rings are not needed for packaging applications; the programmable patterning device can provide digital edge-processing “rings” for packaging applications to avoid edge projection. Maskless (digital patterning) can also enable patterning on a flexible substrate. As described herein, various embodiments of the disclosed systems and methods allow for increasing the tolerances for alignment/positioning of lens arrays as used in lithographic manufacturing.
[0037] Figure 1 is a simplified diagram illustrating an exemplary lithography system, according to an embodiment. As shown in FIG. 1, lithographic apparatus 100 can include a patterning device 110 and a projection system 150. Patterning device 110 can include light source 112 (such as a laser diode as discussed herein) and a mirror array 140. Mirror array 140 can receive light (also referred to herein as radiation beam or beam 114) from light source 112 and cause beam 114 to laterally displace in the X- and/or Y-directions. In an embodiment, the patterning device 110 may include lens 130 to image the radiation beam 114 from the light source 112 to mirror array 140. [0038] The deflected beam 114 from mirror array 140 can be received by projection system 150. Projection system 150 can include objective lens 152, lens array 154, and any number of other lenses or lens arrays for performing focusing, magnification, aberration correction, etc. Objective lens 152 can be arranged to receive the beam 114 from patterning device 110. In the example of FIG. 1, beam 114 diverges from objective lens 152 and is received by lens array 154, which can include any number of individual lenses. Lens array 154 can then focus beam 114 onto substrate 160. As described further herein, substrate 160 can move relative to lithographic apparatus 100 as illustrated by the arrow.
[0039] By controlling the amplitude of light imaged onto specific locations of substrate 160, maskless lithography can be performed, as well as methods incorporating greyscaling (described in further detail below) that provide benefits to the lithography process. Accordingly, in an embodiment, a method of performing lithography can include illuminating mirror array 140 with beam 114. Mirror array 140 can include a number of mirrors that receive the light. The light can be imaged onto substrate 160 to create a pattern.
[0040] In an embodiment, there can be a single light source 112 for mirror array 140. In other embodiments, light source 112 can comprise multiple light sources (e.g., 2, 3, 6, 10, etc.) that are combined to provide illumination. In an embodiment, light source 112 can be toggled on and off with a toggle frequency corresponding to an adjustment frequency of mirror array 140. For example, if mirror array 140 is able to change its configuration 30 times a second (e.g., 1/60 second stationary and 1/60 second for adjustment), then light source 112 can be operated to turn on and off such that mirror array 140 is not illuminated during its 1/60 second adjustment period. In another embodiment, one or more laser diodes can act as light source 112 and can be controlled to emit the light, for example at the toggle frequency or pattern, or continuously.
[0041] In another embodiment, a lithography system can include multiple light sources (illustrated collectively in Fig. 1 as light source 112) configured to generate light having multiple light amplitudes. The light can then form a pattern at a mirror array configured to direct the light with the pattern to a substrate.
[0042] Optionally, the lithographic apparatus can comprise a radiation system (not shown) to supply radiation (e.g., ultraviolet (UV) radiation) to the plurality of light sources 112. If the patterning device is a radiation source itself, e.g. a laser diode array or a LED array, the lithographic apparatus can be designed without a radiation system, i.e. without a radiation source other than the patterning device itself, or at least a simplified radiation system.
[0043] The radiation system can include an illumination system (illuminator) configured to receive radiation from a radiation source. The illumination system can include one or more of the following elements: a radiation delivery system (e.g., suitable directing mirrors), a radiation conditioning device (e.g., a beam expander), an adjusting device to set the angular amplitude distribution of the radiation (generally, at least the outer and/or inner radial extent (which can be referred to as s-outer and s- inner, respectively) of the amplitude distribution in a pupil plane of the illuminator can be adjusted), an integrator, and/or a condenser. The illumination system can be used to condition the radiation provided to light sources 112 to have a desired uniformity and amplitude distribution in its cross- section. The illumination system can be arranged to divide radiation into sub-beams that can, for example, each be associated with one or more of the plurality of light sources 112. A two- dimensional diffraction grating can, for example, be used to divide the radiation into sub-beams. In the present description, the terms “beam of radiation,” “radiation beam,” and “beam” encompass, but are not limited to, the situation in which beam 114 is comprised of a plurality of such sub-beams of radiation.
[0044] In other embodiments, the radiation system can also include a radiation source (e.g., an excimer laser) to produce the radiation for supply to or by light source 112. The radiation source and the lithographic apparatus 100 can be separate entities, for example when the radiation source is an excimer laser. In such cases, the radiation source is not considered to form part of the lithographic apparatus 100 and the radiation is passed from the source to the illuminator. In other cases, the radiation source can be an integral part of the lithographic apparatus 100, for example when the source is a mercury lamp. Both of these scenarios are contemplated within the scope of the present invention.
[0045] In an embodiment, the radiation source, which in an embodiment can be light source 112, can provide radiation having a wavelength of at least 5 nm, e.g. at least 10 nm, at least 50 nm, at least 100 nm, at least 150 nm, at least 175 nm, at least 200 nm, at least 250 nm, at least 275 nm, at least 300 nm, at least 325 nm, at least 350 nm, or at least 360 nm. In an embodiment, the radiation has a wavelength of at most 450 nm, e.g. at most 425 nm, at most 375 nm, at most 360 nm, at most 325 nm, at most 275 nm, at most 250 nm, at most 225 nm, at most 200 nm, or at most 175 nm. In an embodiment, the radiation can have a wavelength including 436 nm, 405 nm, 365 nm, 355 nm, 248 nm, 193 nm, 157 nm, 126 nm, and or 13.5 nm. In an embodiment, the radiation can include a wavelength of around 365 nm or around 355 nm. In an embodiment, the radiation can include a broad band of wavelengths, for example encompassing 365 nm, 405 nm and 436 nm. A 355 nm laser source can also be used. In an embodiment, the radiation can have a wavelength of about 405 nm.
[0046] In an embodiment, radiation can be directed from the illumination system at the patterning device 110 at an angle between 0 and 90°, e.g. between 5 and 85°, between 15 and 75°, between 25 and 65°, or between 35 and 55°. The radiation from the illumination system can be provided directly to the patterning device 110 (i.e. without relying on mirror array 140). In an alternative embodiment, radiation can be directed from the illumination system to the patterning device 110 by means of a beam splitter configured such that the radiation is initially reflected by the beam splitter and directed to the patterning device 110. The patterning device 110 can modulate beam 114 and reflect it back to the beam splitter which transmits the modulated beam toward the substrate 160. However, alternative arrangements can be used to direct radiation to the patterning device 110 and subsequently to the substrate 160. In particular, an illumination system arrangement may not be required if a transmissive patterning device 110 (e.g. a LCD array) is used or the patterning device 110 is self-emissive (e.g., laser diodes).
[0047] Although lithography apparatus 100 according to an embodiment of the invention is herein described as being for exposing a substrate, lithography apparatus 100 can also be used to expose a resist on a substrate or used to project a patterned beam 114 for use in resistless lithography.
[0048] To address pixels (or, more generally, locations) on substrate that need to be exposed, mirror array 140 can be provided that directs beam 114 to the desired position. In an embodiment, beam 114 can be directed by mirror array 140 to spots on substrate 160 that need to be exposed. In an embodiment, mirror array 140 can be configured to deflect beam 114 in both X- and Y-directions to position the spot on a pixel on the substrate. When a portion of beam 114 is not needed, it can be deflected towards a beam dump.
[0049] As discussed above, mirror array 140 can facilitate deflection of beam 114 from light source 112 in the X- and/or Y-direction. In other words, this type of mirror array 140 can point the beam 114 towards a specific location on lens array 154 and thereby to substrate 160. In an embodiment, mirror array 140 can deflect the radiation in only the Y-direction or only the X- direction. In an embodiment, the mirror array 140 can deflect the radiation in both X- and Y- directions.
[0050] In an embodiment, mirror array 140 can be a mechanical (i.e., galvanometer-type), an electro optic, and or acousto-optic mirror array. A mechanical mirror array tends to provide the largest number of resolvable radiation spots (i.e., a resolvable spot means that the beam is deflected by an angle equal to its own angular spread) but tends to be slowest in terms of spot scan rate. An electro optic mirror array tends to be the fastest in terms of spot scan rate but tends to have the smallest number of resolvable radiation spots.
[0051] In an embodiment, the mirror array 140 can be an electro-optical mirror array. An electro- optical mirror array can provide a switching speed of up to a few nanoseconds. In an embodiment, the electro-optical mirror array can provide deflection angles of +/-15 degrees. In an embodiment, this can yield about 600 radiation spots for an input beam divergence of 0.05 degrees. In an embodiment, use of an electro-optical mirror array can avoid having a fast moving mechanical part for radiation deflection. In an embodiment, there can be no moving optical elements between the radiation source 112 and the substrate 160.
[0052] The electro-optical mirror array can include an optically transparent piezo material. Thus, in an embodiment, beam 114 can be steered due to a potential difference applied over the piezo material. For example, when a potential difference is applied across such an optically transparent material, the index of refraction of the material changes, which changes the direction of beam propagation (i.e., the radiation beam can be deflected). In an embodiment, the material is selected from the following: LiNb03, LiTa03, KthPO^KDP), or NH4H2PO4 (ADP). LiTaOs is transparent at the 405 nm wavelength.
[0053] Projection system 150 (e.g., a quartz and/or CaF2lens system or a catadioptric system comprising lens elements made from such materials, or a mirror system) can be used to project beam 114 onto of substrate 160. Projection system 150 can project a first greyscale pattern provided by light source 112 and mirror array 140 such that the first greyscale pattern is coherently formed on the substrate 160.
[0054] In this respect, the projection system can include a focusing element, or a number of focusing elements (herein referred to generically as a mirror array or lens array 154) e.g., a micro-lens array 154 (MLA) or a Fresnel lens array 154, to form the secondary sources and to image spots onto substrate 160.
[0055] In an embodiment, the light can be imaged onto a lens array 154 that includes lenses that focus the light onto substrate 160. In another embodiment, substrate 160 can be illuminated multiple times at a location to deliver a total light amplitude.
[0056] In an embodiment, lens array 154 (e.g., a MLA) can include at least 10 focusing elements, e.g. at least 100 focusing elements, at least 1,000 focusing elements, at least 10,000 focusing elements, at least 100,000 focusing elements, or at least 1,000,000 focusing elements. In some embodiments, the number of lenses in the lens array 154 can be equal to the number or mirrors in mirror array 140.
[0057] In an embodiment, the lens array 154 is movable at least in the direction to and away from substrate, e.g. with the use of one or more actuators. Being able to move the lens array 154 to and away from substrate allows, e.g., for focus adjustment without having to move substrate 160. In an embodiment, individual lens element in the lens array 154, for instance each individual lens element in the lens array 154, are movable at least in the direction to and away from the substrate (e.g., for local focus adjustments on non-flat substrates or to bring each optical column into the same focus distance).
[0058] Any of the lenses in lens array 154 can focus light onto a spot on the substrate. As used herein, “spot” means an area on the substrate where the light from one or more lenses reaches substrate 160. The spot can be, but is not necessarily, a focal point of any particular lens. In some embodiments, a spot can correspond to a waist of a Gaussian beam. A spot may be circular, elliptical, or any other shape as a result of the distance, angle, etc. of the substrate and the beam, the shape of any lenses, beam blocks with shaped apertures, etc.
[0059] In an embodiment, a spot size can be 10 microns or less, 5 microns or less, e.g., 3 microns or less, 2 microns or less, 1 micron or less, 0.5 micron or less, 0.3 micron or less, or about 0.1 micron. In an embodiment, spot size on the substrate is 0.1 micron or more, 0.2 micron or more, 0.3 micron or more, 0.5 micron or more, 0.7 micron or more, 1 micron or more, 1.5 microns or more, 2 microns or more, or 5 microns or more. In an embodiment, a spot size is about 0.1 micron. In an embodiment, a spot size is about 0.5 micron. In an embodiment, a spot size is about 1 micron. Such sizes can be applied to a diameter, major or semi-major axis, or other relevant dimension of the spot.
[0060] In some embodiments, the lithographic apparatus can include a controller that generates signals to set the patterning device to the requisite state at each stage during the exposure process. The pattern to be formed on the substrate can be provided to the lithographic apparatus in a vector-defined format e.g., GDSII. In order to convert the design information into the control signals, the controller can include one or more data manipulation devices that can be configured to perform a processing step on a data stream that represents the pattern. The data manipulation devices can collectively be referred to as the “datapath.”
[0061] The data manipulation devices of the datapath can be configured to perform one or more of the following functions: converting vector-based design information into bitmap pattern data; converting bitmap pattern data into a required radiation dose map (namely a required radiation dose profile across the substrate); converting a required radiation dose map into required radiation amplitude values for each light source; and converting the required radiation amplitude values for each light source into corresponding control signals.
[0062] In an embodiment, the control signals can be supplied to the light sources 112 and/or one or more other devices (e.g., a mirror array and or sensor) by wired or wireless communication. Further, signals from the light sources 112 and/or from one or more other devices (e.g., a mirror array and or sensor) can be communicated to the controller. In a similar manner to the control signals, power can be supplied to the light sources 112 or one or more other devices (e.g., a mirror array and or sensor) by wired or wireless means. For example, in a wired embodiment, power can be supplied by one or more lines, whether the same as the ones that carry the signals or different. A sliding contact arrangement can be provided to transmit power. In a wireless embodiment, power can be delivered by RF coupling.
[0063] While the previous discussion focused on the control signals supplied to the light sources 112 and/or one or more other devices (e.g., a mirror array and or a sensor), they should be understood to encompass in addition or alternatively, through appropriate configuration, transmission of signals from the light sources 112 and or from one or more other devices (e.g., a mirror array and/or sensor) to the controller. So, communication can be one-way (e.g., only to or from the light sources 112 and/or one or more other devices (e.g., a mirror array and or sensor)) or two-way (i.e., from and to the light sources 112 and/or one or more other devices (e.g., a mirror array and/or sensor)).
[0064] In an embodiment, the control signals to provide the pattern can be altered to account for factors that can influence the proper supply and or realization of the pattern on the substrate. For example, a correction can be applied to the control signals to account for the heating of one or more of the light sources 112, lenses, etc. Such heating can cause changed pointing direction of the light sources 112, lenses, etc., change in uniformity of the radiation, etc. In an embodiment, a measured temperature and/or expansion/contraction associated with light sources 112 and or other element from, e.g., a sensor can used to alter the control signals that would have been otherwise provided to form the pattern. So, for example, during exposure, the temperature of the light sources 112 can vary, the variance causing a change of the projected pattern that would be provided at a single constant temperature. Accordingly, the control signals can be altered to account for such variance. Similarly, in an embodiment, results from the alignment sensor and or the level sensor 150 can be used to alter the pattern provided by the light sources 112. The pattern can be altered to correct, for example, distortion, which can arise from, e.g., optics (if any) between the light sources 112 and the substrate 160, irregularities in the positioning of the substrate 160, unevenness of the substrate 160, etc.
[0065] With the aid of a positioning device (and optionally a position sensor on a base (e.g., an interferometric measuring device that receives an interferometric beam, a linear encoder or a capacitive sensor)), substrate 160 can be moved accurately, e.g., so as to position different target portions in the path of beam 114. In other embodiments, a positioning device for light sources 112 can be used to accurately correct the position of light sources 112 with respect to the path of beam 114, e.g., during a scan. For example, some embodiments can include a control system that controls a scanning of a substrate relative to the MLA or other components of the optical column described herein (e.g., having any combination of components in FIG. 1). The control system can thus provide two-dimensional horizontal translations of the substrate, a vertical translation, or a rotation of the substrate, in any combination.
[0066] Figure 2 is a simplified diagram illustrating an exemplary lithography system and method for printing a pattern to a scanned substrate. In an embodiment, the lithographic apparatus 100 can project the radiation of the light sources 112 onto the substrate in a manner that does not form individual pixels for projection onto the substrate but rather a substantially continuous image for projection onto the substrate. The lithographic apparatus 100, particularly the light sources 112, can be arranged to provide pixel-grid imaging as described in more detail herein. As depicted in the upper diagram of FIG. 2, the spots 210 would appear to have an overlap based on the separation of lenslets in the micro-lens array. However, by scanning the substrate at certain angles, a printed pattern can be created with sufficient overlap of the spot sizes to avoid gaps in printing.
[0067] The filled in circles in the lower diagram of FIG. 2 represent the array of spots S (or 310 in the side view) projected onto substrate 160 by lens array 154 in projection system 150.
Substrate 160 is moved relative to projection system 150 in the X-direction as a series of exposures are exposed on the substrate. The open circles represent spot exposures SE (or 210 in the side view) that may have previously been exposed on substrate 160. As shown, each spot projected onto substrate 160 by lens array 154 within projection system 150 exposes a row R of spot exposures on substrate 160. The complete pattern for the substrate 160 is generated by the sum of all the rows R of spot exposures SE exposed by each of spots S. Such an arrangement can be referred to as “pixel grid imaging.” It will be appreciated that FIG. 2 is a schematic drawing and that spots S can overlap in practice, for example as discussed further herein.
[0068] It can be seen that the array of radiation spots S can be arranged at an angle a relative to the substrate scanning direction (the edges of the substrate 160 lie parallel to the X- and Y-directions). This is done so that, when substrate 160 is moved in the scanning direction (the X-direction), each radiation spot will pass over a different area of the substrate, thereby allowing the entire substrate to be covered by the array of radiation spots S. In various embodiments, the angle a can be approximately 80°, 75°, 60°, 45°, 30°, 20°, 10°, 5°, 3°, 1°, 0.5°, 0.25°, 0.10°, 0.05°, or 0.01°. In an embodiment, the angle a is at least 0.0001°, e.g. at least 0.001°. The angle of inclination a and the width of the array in the scanning direction are determined in accordance with the image spot size and array spacing in the direction perpendicular to the scanning direction to ensure the whole surface area of the substrate 160 is addressed. In many of the embodiments discussed further herein, the angle, also referred to as a scan angle, can be approximately 45° due to the exemplary mirror arrays presented.
[0069] As used herein, the term “approximately” with regard to the scan angle means that the angle may not be exactly a particular angle but may, in practice, be slightly different due to the geometric details of the system. Accordingly, such numbers are given primarily for illustrative purposes and it should be understood that a person of skill would realize that exact value will vary.
[0070] Figure 3 is a simplified diagram illustrating tolerances provided by an exemplary overlap between tracks printed by a lens array, according to an embodiment. As described above, focused light that is projected onto the substrate while it is being scanned relative to a lens array (equivalently referred to herein as a micro-lens array or MLA) can trace out a number of “tracks” 310 on the substrate. The tracks can be where light of varying intensities is provided to the substrate for the lithographic process. The lithographic system can be configured to provide an overlap 320 such that the lenslets (individual lenses of an MLA) can deliver light to desired portions of the substrate without having gaps. As used herein, the term “track width” 330 refers to the spot size (e.g., diameter) at the substrate. As seen from FIG. 3, when the printing is done in such a way that there is some overlap between tracks, this width is referred to as “overlap” 340. The term “track pitch” 350 refers to the remaining width of the track (i.e., “track width” - “overlap”). From FIG. 3, it can be seen that the overlap also represents the tolerance between tracks such that there are no spaces or gaps between them. However, due to the very small spot size and other limits of certain high-precision manufacturing processes, the tolerance for deviations in track position or angle of scanning relative to a substate may be problematically small. For example, if a manufacturing process requires the positions of the tracks to be within 100 nm of a target position (i.e., a tolerance of 100 nm) then if the positioning accuracy of the MLA (and hence the tracks) could only be determined within 1000 nm, then impermissible gaps may occur in some places between tracks. Embodiments of the present disclosure address this problem by systems and methods that significantly increases the tolerance of against such misalignments.
[0071] Figure 4 is a simplified diagram illustrating determination of a pitch and a scan angle, according to an embodiment. The pitch of the MFA, denoted dx and shown in the top panel, can be a function of lenslets in vertical direction ny, trackwidth and overlap, and the desired lenslet against which the first track is placed. In this case, the first track is touching the lenslet of one row up and one column to the right, resulting in close to 45° scan angle. Thus, the optimal pitch 410 is determined by: dx = (trackwidth — overlap) . (Eq. 1)
Figure imgf000014_0002
[0072] The corresponding scanning angle a, shown in the bottom panel, follows from the optimal pitch, trackwidth and overlap:
Figure imgf000014_0001
[0073] Figure 5 is a simplified diagram illustrating creation of a pattern at different times during a scanning process, according to an embodiment. To further illustrate an example of how a pattern is formed by the scanning of the substrate relative to the MLA, FIG. 5 shows a scanning process at three points in time.
[0074] The top panel illustrates an initial pattern delivered to a substrate 510. The pattern is being shown as delivered by six adjacent MFAs 520. The elongated depiction of the MFAs represents that an MFA may be, for example, a two-dimensional array of 2560 x 100 lenslets. At the initial time, the pattern is printed directly underneath the lenslets.
[0075] In the middle panel, representing some later time, the substrate has scanned up into the right, at approximately a 45° scan angle previously depicted in FIG. 4. As seen, the tracks have begun to form due to the light delivered by the MFAs. At this stage, the tracks printed by adjacent MFA’s have not come into contact or generally overlap with each other. Not depicted here, but shown in greater detail in the following figures, the edges of the printed pattern have gaps or spaces where there is simply no following track to fill them in. Such gaps and spaces do not exist in the middle portions of the printed pattern due to the previously described overlap between tracks.
[0076] It is understood that specific implementations can vary according to the application of the teachings of the present disclosure, for example, the scan angle need not be at 45°. As seen in the geometry set forth in FIG. 2, the scan angle is a complicated function of the size of the spot at the substrate and any desired degree of overlap. These details are discussed further herein but it should be stressed that no example given need be limiting in its exact design. For example, scan angles can be approximately 0°, 10°, 20°, 30°, 45°, 60°, 80°, or 90°. Variation around a particular specified scan angle can be based on permissible angular tolerances, for example, variations of 1 to 5 microradians (prad), 6 to 10 prad, or less than 20 prad.
[0077] In the bottom panel, the substrate has scanned even further, to the point where the tracks due to adjacent MLAs meet, and even overlap to an extent. Thus, the printing process results in a pattern that is substantially filled with the desired light delivered to the substrate but which includes (again not depicted in FIG. 5) regions around the edges that may include gaps in coverage. Again, rather than the scanning stopping when the next MLA reaches the first part of the track printed by the adjacent MLA, to obtain full coverage, the tracks are somewhat interwoven. This “stitching region” 540 is described further herein, with particular reference to FIGs. 7 and 8.
[0078] Figure 6 is a simplified diagram illustrating a completed scanning pattern 610, according to an embodiment. The exemplary pattern shown in FIG. 6 depicts an area of full scan coverage. This pattern can also be referred to in certain embodiments as a “tile,” as certain lithographic processes may provide for the printing of multiple tiles by numerous similar groups of MLAs. The pattern outline has a slightly different shape than the one apparent from what was shown in FIG. 5. Again, this is because this completed pattern depicts only the region where there were no gaps between tracks.
[0079] The edges of pattern 610 have what is referred to herein as sawtooth-shaped regions. These regions are a natural consequence of the printing method previously discussed. The exact shape of the sawtooth shaped regions will vary depending on the particular MLA geometry and system setup. For example, if MLAs of different array sizes are used, or a different scan angle is used, or the separation between MLAs varies, these can ah affect the details of the printed pattern.
[0080] Figure 7 is a simplified diagram depicting an example of a tile arrangement that reduces unwanted overlap, according to an embodiment. To facilitate the previously described stitching between tiles, embodiments of the lithography system can be configured to print the tiles to have some overlap between edges of at least two tiles. However, as described herein, the amount of overlap can be optimized to have a lithography system that uses a reduced or optimized amount of space while avoiding excessive or unneeded overlap of tiles.
[0081] Simplified examples of possible tile arrangements are depicted in FIG. 7, depicting two tiles of what could be part of a two-dimensional array of tiles, as described further herein. The arrangement on the left is a simple leftward shift of one tile. As shown by the dark region in the middle of the arrangement, this can result in a significant amount of overlap of the sawtooth portions of the title. However, this shift can be combined with another vertical shift to arrive at the configuration shown on the right where the sawtooth portions are substantially interwoven and overlap between the two tiles reduced, while still avoiding gaps between the tiles. Thus, overlap between tiles can be optimized by performing a first shifting of a tile 710 of a two-dimensional tile array of tiles in a row direction (e.g., horizontal in the figure) to increase an overlap 730 between the tile and an adjacent tile 720 in an adjacent column. Additionally, the system can perform a second shifting of the tile of the two-dimensional tile array in a column direction (e.g., vertical in the figure) to decrease the overlap between the tile and the adjacent tile. Note that for explanatory purposes, the term “column” and “row” are sometimes used with their conventional meanings. For example, the diagram of FIG. 7 depicts two tiles in a single row, so the arrangement has two columns and one row. [0082] The shifts described above can similarly be described as nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven. In some embodiments, the particular geometry that dictates the shifts or nesting can be based on the scan angle such that the flat surfaces of the sawtooth portions are made to substantially abut each other. As seen in greater detail in FIG. 6, some embodiments may have a small vertical portion on the boundary of the tile. This can be a result of the particular printing geometry and may not be present in all embodiments. In any event, the tiles can be arranged such that for two adjacent tiles, the angled portions (due to the scan angle) and the horizontal portions (due to the start of an MLA) can be made to abut. This may result in a small amount of overlap where a tip of a sawtooth overlaps with a region near the small vertical boundary, however, such overlap is generally small and permissible.
[0083] Figure 8 is a simplified diagram illustrating several examples of stitching together multiple tiles, according to various embodiments. As described above, irregularly shaped tiles can result from the disclosed pixel grid imaging techniques. For efficient manufacturing, these tiles (light patterns) should be delivered to the substrate as efficiently as possible. This can include utilizing the disclosed systems and methods to reduce unnecessary overlap between tiles and to utilize the available optical hardware to most effectively print to the substrate. Effective printing can include optimizing the planned arrangement of tiles such that movement of the substrate is minimized or otherwise optimized to improve manufacturing throughput.
[0084] Illustrated in FIG. 8 are three exemplary tiles arrays (as could be printed to a substrate). The top portion depicts a 3 x 1 (rows, columns) tile array 810, the middle portion depicts a 1 x 3 tile array 820, and the bottom portion depicts a 2 x 2 tile array 830. While the one-dimensional arrays in the top and middle portions of FIG. 8 can be utilized in certain embodiments, as explained further below, they may not be optimal for printing with some embodiments of the technologies disclosed herein. Thus, the two-dimensional tile array 830 in the bottom portion of FIG. 8 may have advantages over one-dimensional arrangements.
[0085] As described herein, “one-dimensional” refers to an array (of tiles or optical columns, explained further below) in either a single row or a single column. This can include having dimensions of l x 2, 2 x 1, l x 3, 3 x 1, l x 4, 4 x 1, and so on. As also used herein, the term “two- dimensional” refers to an array where there is more than one tile (or optical column) in a row and in a column. Example dimensions that are considered “two-dimensional” can include, 2 x 2, 2 x 3, 3 x 2, 3 x 3, and so on. In general, a two-dimensional array has N x M elements where N > 1 and M > 1, but N may or may not be equal to M depending on the embodiment.
[0086] Figure 9 is a simplified diagram illustrating several examples of optical column layouts, according to various embodiments. In general, a portion of the optical system (including possibly any combination of lenses, DMDs, MLAs, etc.) can be arranged in what is referred to herein as an “optical column”. A simplified example is shown in FIG. 1 where it can be seen that with the exception of the light source and the first lens that the depicted optical elements are generally in a column. Other embodiments have the light source and the major components of the printing system arranged in a columnar manner more than what is shown in FIG. 1 (e.g., the light source being generally vertically above DMD 140, but with other optics such as mirrors or lenses to equivalently direct light to the DMD for delivery to the substrate in a manner similar to that shown).
[0087] A simple approximation of the extents of an optical column can be seen in FIG. 5 by the arrangement of the MLAs 520. Here, the extents can be considered the boundary of a shape that encloses the MLAs. However, in some implementations, there may be other elements in the optical system that are larger than MLAs. For example, in the simplified system of FIG. 1, it can be seen that the lens just above MLA 154 is larger than the MLA. Accordingly, as used herein, it is understood that the extent of the “optical column” is at least the size of the largest optical element in the system.
In this way, the optical column has a particular footprint in the manufacturing set up. By optimizing the arrangement of optical columns in addition to the arrangement of tiles that are printed by the optical columns, manufacturing throughput can be increased.
[0088] To make the most efficient use of space in a manufacturing setting, the optical columns should be close together but still with sufficient spacing as needed for mounting to the overall lithographic apparatus. The particular arrangement of optical columns is thus closely related to the arrangement of tiles to be printed. FIG. 9 depicts collections of tiles as shown in the three examples of FIG. 8, but with corresponding optical columns superimposed as they may be arranged to print the given collections.
[0089] The top and middle portions of FIG. 9 show the optical column arrangement for the corresponding exemplary one-dimensional tile arrays of FIG. 8. It can be seen that there is generally a poor matching between the optical columns 910, 920 and the one-dimensional tile arrays 810, 820. Such setups would necessitate fairly long translations of the substrate in order to print various tiles. Furthermore, it can be seen that the edges around the optical columns can have significant empty space. Also, ID arrangements can result in overly-limited space for the mounting of optical columns. [0090] The bottom portion of FIG. 9 depicts an improved arrangement of optical columns 930 for printing an optimized tile arrangement 830 as depicted in FIG. 8. The depicted system is one example of such that has improved lithographic printing throughput. As discussed, the optical columns can each include micro-lens arrays to print tiles onto a substrate. Here though, mirroring the tiles that the optical columns will print, the optical columns can be disposed in a two-dimensional array, with a 2 x 2 example shown where the two-dimensional array can include two optical columns in the column direction and two optical columns in the row direction. Furthermore, the system can be configured to print a depicted two-dimensional tile array in one or more of the optical columns. This example shows that the depicted system can be configured to print at least four tiles per optical column.
[0091] To optimize the use of space in the arrangement of corresponding optical columns, in some embodiments, at least one of the optical columns in a column of the two-dimensional array can have a first shift in a row direction. Similarly, at least one of the optical columns in a row of the two- dimensional array can have a second shift in a column direction. These shifts can be seen to mirror those given for the optimized tile arrangement 830 as depicted in FIG. 8.
[0092] By properly selecting the arrangement of tiles and optical columns, the most efficient use of space can be realized. In particular, such benefits arise by implementations of two-dimensional arrangements in at least the tile patterning, and also by the resultant two-dimensional arrangement of the optical columns. While a 2 x 2 example of tiles beneath each optical column is shown, the particular number of rows and columns will vary depending on, for example, the number of MLA’s, size/shape of the MLA’s, spacing between the MLA’s, and the size of the largest element in the optical column. For example, in some embodiments, there may be a 2 x 3 or 3 x 3 arrangement of tiles to be printed by the same optical column.
[0093] Various implementations can also include a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns. The control system can thus cause translations and rotations of the substrate underneath the optical columns to not only perform the pixel grid imaging described herein, but also to print the tile arrays for each of the optical columns. Accordingly, a method for utilizing such a system to improve throughput in a lithographic process can include scanning a substrate below optical columns that include MLAs utilized to print tiles onto the substrate. Light can also be delivered to the substrate via the MLA to print a two-dimensional tile array in a row direction and a column direction. As used herein, the column direction is that depicted as being the direction between adjacent MLAs in the optical column (see the top portion of FIG. 5). Thus, as depicted herein, the vertical direction in the figures. The row direction is perpendicular to the column direction, thus the horizontal direction in the figures. Such conventions are useful for explaining the various shifts in arrangements of the optical columns and tile printing methods disclosed herein. The disclosed improvements and optimization to printing processes and hardware arrangements are thus applicable to systems that can be configured to manufacture computer chips, flat panel displays, etc.
[0094] Figure 10 is a simplified diagram depicting an example of spacings between optical columns, according to an embodiment. Various 2 x 2 tile arrays are shown and illustrated to have different patterns. In each pattern, a simplified depiction of the MLAs 520 are also shown. In the example in the center (and one shown above it for illustrative purposes), the previously described “largest optical element” 1010 is depicted as surrounding the smaller grouping of MLAs. The size of the optical column itself is shown by boundary 1020. For illustrative purposes, other boundaries are not shown but a similar boundary would be around each of the MLAs. Thus, FIG. 10 provides an exemplary embodiment where the two-dimensional array of optical columns has at least a 3 x 3 dimension of optical columns that provide sufficient spacing between the largest optical elements in their respective columns.
[0095] Figure 11 is a simplified diagram depicting a pattern 1100 having skewness, according to various embodiments. The tiling methods described herein provide optimized overlap to facilitate a space-saving arrangement of optical columns and the tiles that are printed by them. However, the vertical shift described in FIG. 7 to reduce overlap when extended to an array with a large number of elements, results in a skewness of the overall array of optical columns which may not be desired in a manufacturing setting. This skewness is depicted by the large black rectangular boundary 1110 which presumably would contain a number of optical columns. Without correcting for skewness in the resulting patterns, this could again result in an inefficient use of space. It can be seen that the cause of the skewness is the downward drift of the printed patterns that results from the vertical shift.
[0096] Figure 12 is a simplified diagram depicting a shifted pattern with reduced skewness, according to various embodiments. The depicted embodiment provides an example where the skewness is substantially corrected by shifting a tile 1220 (or multiple tiles) of the two-dimensional tile array 1200 opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate. Recall that the small downward shift to reduce overlap was referred in earlier examples as the “column direction.” Here, the shift to correct skewness is in the opposite direction. In the specific example shown, most adjacent tiles are shifted slightly. This is depicted by the small arrows inset. However, to reduce the skewness that is apparent as emerging in the pattern 1200, a comparatively large shift is depicted that brings the corresponding tile column into approximate alignment with another tile column. As a result, the resulting boundary 1210 is much more aligned with the tiles that are printed. In the specific example shown where every fourth tile in the row direction is shifted, there have been three small shifts in tile columns column direction (over two optical columns) and then the next shift is in the opposite direction and approximately three times the distance of one of the small shifts.
[0097] In some embodiments, the optical columns can be retained in a strict rectangular arrangement, for example as suggested by in FIG. 10. However, in other embodiments, the optical columns may have their centers shifted in accordance with the centers of the two-dimensional arrays that they are printing. For example, referring to the inset of FIG. 12, the 2 x 2 pattern in the upper left could have an optical column corresponding to its position. The adjacent optical column to the right, could have its center shifted in the column direction by two small shifts (the accumulated shift between the centers of the two-dimensional tile arrays). The yet next optical column can have a shift corresponding to the large shift in the pattern that was provided to reduce skewness. In this way, the outline of the space required by all optical columns may not be strictly rectangular but may have additional advantages by more naturally approximating the overall printed pattern delivered to the substrate. Another way to describe this concept is to note that, in this example, every other two- dimensional tile array is essentially repeating. Thus, every other column of optical columns would be shifted relative to its neighbor.
[0098] Again, the particular geometry used to reduce skewness may be very application specific. For example, rather than every other optical column having a corrective shift (i.e., columns 3 and 5, counting from the left of FIG. 12), it may be every column (or every third column, etc.) that is shifted to reduce the skewness. Again, the specific implementation can vary because the shift required to effectively minimize skewness is highly tied to the particulars of the tile geometry. For example, referring to the right portion of FIG. 7, careful inspection shows that the shift between tiles 710 and 720 is smaller than the height of a “tooth” (which roughly corresponds to the separation between MLAs). Accordingly, a particular number of downward shifts are needed before a large upward shift can occur to restore the skewness of the overall pattern.
[0099] From the above, it can be seen that the present disclosure provides methods and arrangements of lithographic systems that have row and/or column shifts of printed tile patterns and/or optical columns to provide the densest arrangement of printed tile patterns, given any combination of the constraints of minimized tile overlap, optical column minimum spacing and density, and optical column arrangement skewness. Throughput can be tuned by optimizing the tile height and choosing the tessellation concept (i.e. N x M). Generally, a smaller tile means less processing time per tile, so where it is possible to choose a smaller tile this can improve throughput by avoiding tiles printing to areas where there actually is no substrate.
[00100] Similarly, optimization can include factoring in the cost of a particular size optical column. This can provide a means of optimization for the cost of goods with constant throughput. For example, the optical columns can be the most expensive part of the tool, and in particular, the largest lens in the optical column. In this way, multiple smaller columns may therefore have a lower cost than a single larger optical column. Utilizing optimization methods such as multivariate minimization calculations, the system can determine an optimal size and tiling of the columns for a given use case. For such a minimization, the inputs can be the throughput, resolution, and cost.
[00101] Figure 13 is a block diagram of an example computer system CS, according to an embodiment.
[00102] Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with bus BS for processing information. Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO. Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor PRO. Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO. A storage device SD, such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
[00103] Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device ID, including alphanumeric and other keys, is coupled to bus BS for communicating information and command selections to processor PRO. Another type of user input device is cursor control CC, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
[00104] According to one embodiment, portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM. Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD. Execution of the sequences of instructions contained in main memory MM causes processor PRO to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
[00105] The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor PRO for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device SD. Volatile media include dynamic memory, such as main memory MM. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge. Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the features described herein. Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal. [00106] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS. Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions. The instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
[00107] Computer system CS may also include a communication interface Cl coupled to bus BS. Communication interface Cl provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN. For example, communication interface Cl may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface Cl may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface Cl sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
[00108] Network link NDL typically provides data communication through one or more networks to other data devices. For example, network link NDL may provide a connection through local network LAN to a host computer HC. This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT. Local network LAN (Internet) both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network data link NDL and through communication interface Cl, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
[00109] Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CL In the Internet example, host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN and communication interface CL One such downloaded application may provide all or part of a method described herein, for example. The received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other non volatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
[00110] Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment. [00111] The lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS.
[00112] Illumination system IL, can condition a beam B of radiation. In this particular case, the illumination system also comprises a radiation source SO.
[0100] First object table (e.g., patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS.
[00113] Second object table (substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS.
[00114] Projection system (“lens”) PS (e.g., a refractive, catoptric or catadioptric optical system) can image an irradiated portion of the patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[00115] As depicted herein, the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device). The apparatus may employ a different kind of patterning device to classic mask; examples include a programmable mirror array or LCD matrix.
[00116] The source SO (e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning apparatuses, such as a beam expander Ex, for example. The illuminator IL may comprise adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the amplitude distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and amplitude distribution in its cross-section.
[00117] In some embodiments, source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).
[00118] The beam PB can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning apparatus (and interferometric measuring apparatus IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of beam PB. Similarly, the first positioning apparatus can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning). However, in the case of a stepper (as opposed to a step-and-scan tool) patterning device table MT may just be connected to a short stroke actuator, or may be fixed.
[00119] The depicted tool can be used in two different modes, step mode and scan mode. In step mode, patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single “flash”) onto a target portion C. Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam PB.
[00120] In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash.” Instead, patterning device table MT is movable in a given direction (the so-called “scan direction”, e.g., the y direction) with a speed v, so that projection beam B is caused to scan over a patterning device image; concurrently, substrate table WT is simultaneously moved in the same or opposite direction at a speed V = Mv, in which M is the magnification of the lens PL (typically, M = 1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution.
[00121] Figure 15 is a schematic diagram of another lithographic projection apparatus (LPA), according to an embodiment.
[00122] LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation), support structure MT, substrate table WT, and projection system PS.
[00123] Support structure (e.g. a patterning device table) MT can be constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
[00124] Substrate table (e.g. a wafer table) WT can be constmcted to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate.
[00125] Projection system (e.g. a reflective projection system) PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
[00126] As here depicted, LPA can be of a reflective type (e.g. employing a reflective patterning device). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Since most material is absorptive at EUV and x-ray wavelengths, a thin piece of patterned absorbing material on the patterning device topography (e.g., a TaN absorber on top of the multi-layer reflector) defines where features would print (positive resist) or not print (negative resist).
[00127] Illuminator IL can receive an extreme ultra violet radiation beam from source collector module SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam. Source collector module SO may be part of an EUV radiation system including a laser for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation. [00128] In such cases, the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[00129] Illuminator IL may comprise an adjuster for adjusting the angular amplitude distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the amplitude distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and amplitude distribution in its cross section.
[00130] The radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
[00131] The depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode. [00132] In step mode, the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
[00133] In scan mode, the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure). The velocity and direction of substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de- )magnification and image reversal characteristics of the projection system PS.
[00134] In stationary mode, the support structure (e.g. patterning device table) MT is kept essentially stationary holding a programmable patterning device, and substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. [00135] Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
[00136] As shown, LPA can include the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure ES of the source collector module SO. An EUV radiation emitting hot plasma HP may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma HP is created to emit radiation in the EUV range of the electromagnetic spectrum. The hot plasma HP is created by, for example, an electrical discharge causing at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[00137] The radiation emitted by the hot plasma HP is passed from a source chamber SC into a collector chamber CC via an optional gas barrier or contaminant trap CT (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber SC. The contaminant trap CT may include a channel structure. Contamination trap CT may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier CT further indicated herein at least includes a channel structure, as known in the art.
[00138] The collector chamber CC may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side US and a downstream radiation collector side DS. Radiation that traverses radiation collector CO can be reflected off a grating spectral filter SF to be focused in a v irtual source point IF along the optical axis indicated by the dot-dashed line O’. The virtual source point IF can be referred to as the intermediate focus, and the source collector module can be arranged such that the intermediate focus IF is located at or near an opening OP in the enclosing structure ES. The virtual source point IF is an image of the radiation emitting plasma HP.
[00139] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA. Upon reflection of the beam of radiation B at the patterning device MA, held by the support structure MT, a patterned beam PB is formed and the patterned beam PB is imaged by the projection system PS via reflective elements RE onto a substrate W held by the substrate table WT.
[00140] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter SF may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS.
[00141] Collector optic CO can be a nested collector with grazing incidence reflectors GR, just as an example of a collector (or collector mirror). The grazing incidence reflectors GR are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
[00142] Figure 17 is a detailed view of source collector module SO of lithographic projection apparatus LPA, according to an embodiment.
[00143] Source collector module SO may be part of an LPA radiation system. A laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma HP with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening OP in the enclosing structure ES.
[00144] The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies already in use include EUV (extreme ultra violet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
[00145] While the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.
[00146] The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made as described without departing from the scope of the claims set out below.
[00147] Further embodiments are disclosed in the subsequent list of numbered clauses:
1. A system with improved lithographic printing throughput, the system comprising: optical columns each including micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array; and a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
2. The system of any of the preceding system clauses, wherein at least one of the optical columns in a column of the two-dimensional array has a first shift in a row direction.
3. The system of any of the preceding system clauses, wherein at least one of the optical columns in a row of the two-dimensional array has a second shift in a column direction.
4. The system of any of the preceding system clauses, wherein the two-dimensional array comprises two optical columns in a column direction and two optical columns in a row direction.
5. The system of any of the preceding system clauses, wherein the system is configured to print a two-dimensional tile array in one or more of the optical columns.
6. The system of any of the preceding system clauses, wherein the system is configured to print at least four tiles per optical column.
7. The system of any of the preceding system clauses, wherein the system is configured to print the tiles to have some overlap between edges of at least two tiles.
8. The system of any of the preceding system clauses, wherein the system is configured to manufacture flat-panel displays.
9. The system of any of the preceding system clauses, wherein the system is configured to manufacture computer chips.
10. A method for improving throughput in a lithographic process, the method comprising: scanning a substrate below optical columns including micro-lens arrays utilized to print tiles onto the substrate; and delivering light via the micro-lens array to the substrate to print a two-dimensional tile array in a row direction and a column direction.
11. The method of any of the preceding method clauses, further comprising: performing a first shifting of a tile of the two-dimensional tile array in a row direction to increase an overlap between the tile and an adjacent tile in an adjacent column. 12. The method of any of the preceding method clauses, further comprising: performing a second shifting of the tile of the two-dimensional tile array in a column direction to decrease the overlap between the tile and the adjacent tile.
13. The method of any of the preceding method clauses, further comprising: nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven.
14. The method of any of the preceding method clauses, further comprising: shifting a tile of the two-dimensional tile array opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate.
15. The method of any of the preceding method clauses, wherein the shifting occurs every fourth tile in the row direction.
16. A computer program product comprising a non- transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of the above method claims.

Claims

1. A system with improved lithographic printing throughput, the system comprising: optical columns each including micro-lens arrays to print tiles onto a substrate, the optical columns disposed in a two-dimensional array; and a control system configured to control scanning of the substrate relative to the optical columns during delivery of light via the micro-lens arrays in the optical columns.
2. The system of claim 1, wherein at least one of the optical columns in a column of the two- dimensional array has a first shift in a row direction.
3. The system of claim 2, wherein at least one of the optical columns in a row of the two- dimensional array has a second shift in a column direction.
4. The system of claim 1, wherein the two-dimensional array comprises two optical columns in a column direction and two optical columns in a row direction.
5. The system of claim 1, wherein the system is configured to print a two-dimensional tile array in one or more of the optical columns.
6. The system of claim 1, wherein the system is configured to print at least four tiles per optical column.
7. The system of claim 1, wherein the system is configured to print the tiles to have some overlap between edges of at least two tiles.
8. The system of claim 1, wherein the system is configured to manufacture flat-panel displays.
9. The system of claim 1, wherein the system is configured to manufacture computer chips.
10. A method for improving throughput in a lithographic process, the method comprising: scanning a substrate below optical columns including micro-lens arrays utilized to print tiles onto the substrate; and delivering light via the micro-lens array to the substrate to print a two-dimensional tile array in a row direction and a column direction.
11. The method of claim 8, further comprising: performing a first shifting of a tile of the two-dimensional tile array in a row direction to increase an overlap between the tile and an adjacent tile in an adjacent column.
12. The method of claim 9, further comprising: performing a second shifting of the tile of the two-dimensional tile array in a column direction to decrease the overlap between the tile and the adjacent tile.
13. The method of claim 8, further comprising: nesting adjacent tiles that have sawtooth portions formed by pixel-grid imaging to have the sawtooth portions substantially interwoven.
14. The method of claim 10, further comprising: shifting a tile of the two-dimensional tile array opposite the column direction to decrease skewness of a plurality of tiles printed onto the substrate.
15. The method of claim 12, wherein the shifting occurs every fourth tile in the row direction.
16. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of the above method claims.
PCT/EP2022/065033 2021-07-16 2022-06-02 Systems and methods for tiling a substrate with oddly-shaped patterns WO2023285025A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP21186152.1 2021-07-16
EP21186152 2021-07-16

Publications (1)

Publication Number Publication Date
WO2023285025A1 true WO2023285025A1 (en) 2023-01-19

Family

ID=76958835

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2022/065033 WO2023285025A1 (en) 2021-07-16 2022-06-02 Systems and methods for tiling a substrate with oddly-shaped patterns

Country Status (2)

Country Link
TW (1) TW202307586A (en)
WO (1) WO2023285025A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US7106417B1 (en) * 2003-03-21 2006-09-12 Silicon Light Machines Corporation Tiling of modulator arrays
US20060227311A1 (en) * 2005-04-08 2006-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US7106417B1 (en) * 2003-03-21 2006-09-12 Silicon Light Machines Corporation Tiling of modulator arrays
US20060227311A1 (en) * 2005-04-08 2006-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices

Also Published As

Publication number Publication date
TW202307586A (en) 2023-02-16

Similar Documents

Publication Publication Date Title
US9052605B2 (en) Illumination system for lithographic apparatus with control system to effect an adjustment of an imaging parameter
US20120154777A1 (en) Illumination system, lithographic apparatus and method of adjusting an illumination mode
TWI643243B (en) Lithographic method and apparatus
US11448971B2 (en) Optical maskless
JP5650670B2 (en) Illumination system, lithographic apparatus and method of forming an illumination mode
US7684014B2 (en) Lithographic apparatus and device manufacturing method
JP2005166778A (en) Aligner and method of manufacturing device
WO2023285025A1 (en) Systems and methods for tiling a substrate with oddly-shaped patterns
US20240160110A1 (en) Systems and methods for distributing light delivery
JP6698063B2 (en) Lithographic apparatus and device manufacturing method
WO2023001458A1 (en) Systems and methods for lithographic tools with increased tolerances
TW530189B (en) Lithographic projection apparatus for imaging of a mask pattern and method of manufacturing a device using a lithographic projection apparatus
TWI661264B (en) Methods of tuning process models
WO2024068308A1 (en) Systems for path compensation with a moving objective
WO2021144159A1 (en) Lithographic apparatus and method for drift compensation
JP2022524206A (en) Lithography equipment, measuring equipment, optical systems and methods
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point
TW202036155A (en) Laser roughening: engineering the roughness of the burl top
JP2005235999A (en) Lighting system and exposure system

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22734215

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE