WO2023169806A1 - Methods, systems, and software for determination of failure rates of lithographic processes - Google Patents

Methods, systems, and software for determination of failure rates of lithographic processes Download PDF

Info

Publication number
WO2023169806A1
WO2023169806A1 PCT/EP2023/054117 EP2023054117W WO2023169806A1 WO 2023169806 A1 WO2023169806 A1 WO 2023169806A1 EP 2023054117 W EP2023054117 W EP 2023054117W WO 2023169806 A1 WO2023169806 A1 WO 2023169806A1
Authority
WO
WIPO (PCT)
Prior art keywords
image
failure rate
function
design layout
select locations
Prior art date
Application number
PCT/EP2023/054117
Other languages
French (fr)
Inventor
Xin LEI
Chenxi Lin
Steven George HANSEN
Yi Zou
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2023169806A1 publication Critical patent/WO2023169806A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30141Printed circuit board [PCB]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30168Image quality inspection

Definitions

  • the description herein relates generally to lithographic manufacturing and patterning processes. More particularly, the disclosure includes apparatus, methods, and computer programs for determining failure rates for design layouts used with lithographic processes.
  • a lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device e.g., a mask
  • a substrate e.g., silicon wafer
  • resist a layer of radiation-sensitive material
  • a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus may also be referred to as a stepper.
  • a step-and-scan apparatus can cause a projection beam to scan over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
  • the substrate Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • post-exposure procedures such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device.
  • the whole procedure, or a variant thereof, is repeated for each layer.
  • a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a patterning step such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.
  • MEMS micro-electro mechanical systems
  • RET resolution enhancement techniques
  • projection optics may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly.
  • the term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus.
  • Projection optics may include optical components for shaping, adjusting and/or projecting radiation from the source before the radiation passes the patterning device, and/or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device.
  • the projection optics generally exclude the source and the patterning device.
  • a method of predicting a failure rate for a design layout includes obtaining an image of the design layout in a lithography process; determining derivatives of the image intensity at select locations in the design layout; and determining the failure rate of the design layout based on the derivatives at the select locations.
  • the image can be an aerial image (measured or simulated). In other embodiments, the image can be a resist image.
  • the method can include optimizing a pupil, a mask design, design rule optimization, lithography project optics optimization, or process and throughput monitoring and control of a lithography process based on the predicted failure rate.
  • the select locations can include one or more bridging points in one or more lines or breaking points between one or more lines.
  • the select locations include one or more of contact holes or saddle points corresponding to a point in a saddle-shaped area of the image that is between contact holes.
  • the select locations can be identified based on the image and the derivatives that include first order derivatives or second order derivatives that characterize a contact hole or a saddle point.
  • the method can include identifying candidate select locations based on GDS polygons, where select locations for contact holes can be centers of the GDS polygons for the contact holes and where select locations for saddle-shaped areas can be midpoints between GDS polygons representing contact holes.
  • GDS information can be utilized to exclude contact holes and saddle points from the select locations.
  • the utilizing can include identifying an assist feature in the GDS polygons; and setting a distance threshold from a center of the assist feature, where the candidate select locations of saddle points within the distance threshold are excluded from the select locations.
  • the method can also include setting a distance limit from the center of the assist feature, where the candidate select locations of the saddle points beyond the distance limit are excluded from the select locations.
  • the midpoints can be between orthogonal GDS polygons representing contact holes.
  • the method can include determining a second failure rate for a second design layout, the determining including reusing the select locations from the design layout as second fixed locations in the second design layout.
  • the image can be updated to generate an updated image based on one or more optimizations of the image by an image generation model, wherein the determination of failure rate for the updated image reuses the select locations.
  • the method can include determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout.
  • the function can be a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function. Determining the failure rate can include integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate.
  • the function can be an exponential function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function or a linear function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
  • the failure rate can be associated with line bridging or line breaking at a select location representing local extrema in a line or between lines, respectively.
  • the method can include utilizing an intensity of the image and the derivatives at the select location.
  • the failure rate can be associated with contact hole closing at a select location representing a contact hole center, the method further comprising utilizing the derivatives to determine a peak curvature associated with a contact hole.
  • the failure rate can be associated with contact hole merging at a select location representing a saddle point, the method further comprising utilizing the derivatives to determine a saddle point curvature associated with the saddle point.
  • the derivatives can include a positive saddle point curvature at the saddle point, a negative saddle point curvature at the saddle point, or both a positive saddle point curvature at the saddle point and a negative saddle point curvature at the saddle point.
  • the derivatives can include first order derivatives or gradients of the image that represent a contrast of the image.
  • determining the failure rate can be for multiple failure sites, and include determining powers and/or cross terms of the image intensity and the derivatives.
  • the failure rate can be based at least on squares of an intensity and a curvature of portions of the image.
  • the image can be generated with an image simulator.
  • the generating can include applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns, the offset subsequently reducing a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset.
  • the image parameter can include one or more of a focus, a dose, a metrology CD, or a mask CD.
  • a non-transitory computer readable medium having instructions recorded thereon for predicting a failure rate for a design layout, the instructions when executed by a computer having at least one programmable processor cause operations comprising any of the operations in the above method embodiments.
  • a system for predicting a failure rate for a design layout comprising: at least one programmable processor; and a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer having the at least one programmable processor cause operations comprising any of the operations in the above method embodiments.
  • Figure 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus, according to an embodiment.
  • Figure 2 illustrates an exemplary flow chart for simulating lithography in a lithographic projection apparatus, according to an embodiment.
  • Figure 3 is a block diagram of an exemplary system for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, according to an embodiment.
  • Figure 4 is a flow diagram of an exemplary method for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, according to an embodiment.
  • Figure 5 A depicts an exemplary aerial image and a plot of intensity as taken along a line through the image, according to an embodiment.
  • Figure 5B depicts an exemplary aerial image and select locations that can be analyzed to determine a failure rate, according to an embodiment.
  • Figure 6 depicts an exemplary design layout depicted as GDS polygons with associated select locations for failure analysis, according to an embodiment.
  • Figure 7 depicts an exemplary design layout depicted as GDS polygons that include assist features and permissible select locations, according to an embodiment.
  • Figure 8 depicts an exemplary probability distribution function of aerial image intensity, according to an embodiment.
  • Figure 9 depicts exemplary alternative functions for determining a failure rate, according to various embodiments.
  • Figure 10 depicts an exemplary aerial image likely indicating a contact hole closure failure, according to various embodiments.
  • Figure 11 depicts an exemplary aerial image likely indicating a contact hole merging failure, according to various embodiments.
  • Figure 12 depicts an exemplary improvement in fitting aerial image model parameters by modifying an aerial image model, according to various embodiments.
  • Figure 13 is a block diagram of an example computer system, according to an embodiment.
  • Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • Figure 15 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
  • Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
  • Figure 17 is a detailed view of the source collector module of the lithographic projection apparatus, according to an embodiment.
  • the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g., having a wavelength in the range of about 5-100 nm).
  • the patterning device can comprise, or can form, one or more design layouts.
  • the design layout can be generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the devices or lines do not interact with one another in an undesirable way.
  • One or more of the design rule limitations may be referred to as “critical dimension” (CD).
  • a critical dimension of a device can be defined as the smallest width of a line or contact hole or the smallest space between two lines or two contact holes.
  • the CD determines the overall size and density of the designed device.
  • one of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
  • mask or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context.
  • the term “light valve” can also be used in this context.
  • examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
  • An example of a programmable mirror array can be a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • the basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation.
  • the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface.
  • the required matrix addressing can be performed using suitable electronic methods.
  • FIG. 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus 10A, according to an embodiment.
  • Major components are a radiation source 12A, which may be a deep-ultraviolet excimer laser source or other type of source including an extreme ultraviolet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which, e.g., define the partial coherence (denoted as sigma) and which may include optics 14A, 16Aa and 16Ab that shape radiation from the source 12A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A.
  • EUV extreme ultraviolet
  • a source provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate.
  • the projection optics may include at least some of the components 14A, 16Aa, 16Ab and 16Ac.
  • An aerial image (Al) is the radiation intensity distribution at substrate level.
  • a resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety.
  • the resist model is related only to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake (PEB) and development).
  • Optical properties of the lithographic projection apparatus e.g., properties of the illumination, the patterning device and the projection optics dictate the aerial image and can be defined in an optical model.
  • the electromagnetic field of the radiation after the radiation passes the patterning device may be determined from the electromagnetic field of the radiation before the radiation reaches the patterning device and a function that characterizes the interaction. This function may be referred to as the mask transmission function (which can be used to describe the interaction by a transmissive patterning device and/or a reflective patterning device).
  • the mask transmission function may have a variety of different forms.
  • One form is binary.
  • a binary mask transmission function has either of two values (e.g., zero and a positive constant) at any given location on the patterning device.
  • a mask transmission function in the binary form may be referred to as a binary mask.
  • Another form is continuous. Namely, the modulus of the transmittance (or reflectance) of the patterning device is a continuous function of the location on the patterning device.
  • the phase of the transmittance (or reflectance) may also be a continuous function of the location on the patterning device.
  • a mask transmission function in the continuous form may be referred to as a continuous tone mask or a continuous transmission mask (CTM).
  • the CTM may be represented as a pixelated image, where each pixel may be assigned a value between 0 and 1 (e.g., 0.1, 0.2, 0.3, etc.) instead of binary value of either 0 or 1.
  • CTM may be a pixelated gray scale image, where each pixel having values (e.g., within a range [-255, 255], normalized values within a range [0, 1] or [-1, 1] or other appropriate ranges).
  • the thin-mask approximation also called the Kirchhoff boundary condition, is widely used to simplify the determination of the interaction of the radiation and the patterning device.
  • the thin-mask approximation assumes that the thickness of the structures on the patterning device is very small compared with the wavelength and that the widths of the structures on the mask are very large compared with the wavelength. Therefore, the thin-mask approximation assumes the electromagnetic field after the patterning device is the multiplication of the incident electromagnetic field with the mask transmission function.
  • the assumption of the thin-mask approximation can break down. For example, interaction of the radiation with the structures (e.g., edges between the top surface and a sidewall) because of their finite thicknesses (“mask 3D effect” or “M3D”) may become significant.
  • a mask transmission function under the thin-mask approximation may be referred to as a thin-mask transmission function.
  • a mask transmission function encompassing M3D may be referred to as a M3D mask transmission function.
  • one or more images may be generated.
  • the images includes various types of signal that may be characterized by pixel values or intensity values of each pixel.
  • the signal may be referred as, for example, a weak signal or a strong signal, as may be understood by a person of ordinary skill in the art.
  • the term “strong” and “weak” are relative terms based on intensity values of pixels within an image and specific values of intensity may not limit scope of the present disclosure.
  • the strong and weak signal may be identified based on a selected threshold value.
  • the threshold value may be fixed (e.g., a midpoint of a highest intensity and a lowest intensity of pixel within the image.
  • a strong signal may refer to a signal with values greater than or equal to an average signal value across the image and a weak signal may refer to signal with values less than the average signal value.
  • the relative intensity value may be based on percentage.
  • the weak signal may be signal having intensity less than 50% of the highest intensity of the pixel (e.g., pixels corresponding to design layout may be considered pixels with highest intensity) within the image.
  • each pixel within an image may considered as a variable.
  • derivatives or partial derivative may be determined with respect to each pixel within the image and the values of each pixel may be determined or modified according to a cost function based evaluation and/or gradient based computation of the cost function.
  • a CTM image may include pixels, where each pixel is a variable that can take any real value.
  • Figure 2 illustrates an exemplary flow chart for simulating lithography in a lithographic projection apparatus, according to an embodiment.
  • Source model 31 represents optical characteristics (including radiation intensity distribution and/or phase distribution) of the source.
  • Projection optics model 32 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics.
  • Design layout model 35 represents optical characteristics of a design layout (including changes to the radiation intensity distribution and/or the phase distribution caused by design layout 33), which is the representation of an arrangement of features on or formed by a patterning device.
  • Aerial image 36 can be simulated from design layout model 35, projection optics model 32, and design layout model 35.
  • Resist image 38 can be simulated from aerial image 36 using resist model 37. Simulation of lithography can, for example, predict contours and CDs in the resist image.
  • source model 31 can represent the optical characteristics of the source that include, but not limited to, numerical aperture settings, illumination sigma (o) settings as well as any particular illumination shape (e.g., off-axis radiation sources such as annular, quadrupole, dipole, etc.).
  • Projection optics model 32 can represent the optical characteristics of the projection optics, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc.
  • Design layout model 35 can represent one or more physical properties of a physical patterning device, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated by reference in its entirety.
  • the objective of the simulation is to accurately predict, for example, edge placement, aerial image intensity slope and/or CD, which can then be compared against an intended design.
  • the intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
  • one or more portions may be identified, which are referred to as “clips”.
  • a set of clips is extracted, which represents the complicated patterns in the design layout (typically about 50 to 1000 clips, although any number of clips may be used).
  • These patterns or clips represent small portions (i.e., circuits, cells or patterns) of the design and more specifically, the clips typically represent small portions for which particular attention and/or verification is needed.
  • clips may be the portions of the design layout, or may be similar or have a similar behavior of portions of the design layout, where one or more critical features are identified either by experience (including clips provided by a customer), by trial and error, or by running a full-chip simulation.
  • Clips may contain one or more test patterns or gauge patterns.
  • An initial larger set of clips may be provided a priori by a customer based on one or more known critical feature areas in a design layout which require particular image optimization.
  • an initial larger set of clips may be extracted from the entire design layout by using some kind of automated (such as machine vision) or manual algorithm that identifies the one or more critical feature areas.
  • the lithographic apparatus may include components collectively called a “wavefront manipulator” that can be used to adjust the shape of a wavefront and intensity distribution and/or phase shift of a radiation beam.
  • the lithographic apparatus can adjust a wavefront and intensity distribution at any location along an optical path of the lithographic projection apparatus, such as before the patterning device, near a pupil plane, near an image plane, and/or near a focal plane.
  • the wavefront manipulator can be used to correct or compensate for certain distortions of the wavefront and intensity distribution and/or phase shift caused by, for example, the source, the patterning device, temperature variation in the lithographic projection apparatus, thermal expansion of components of the lithographic projection apparatus, etc. Adjusting the wavefront and intensity distribution and/or phase shift can change values of the characteristics represented by the cost function. Such changes can be simulated from a model or actually measured.
  • the design variables can include parameters of the wavefront manipulator.
  • the present disclosure provides improved methods of determining a failure rate of a design layout.
  • failure modes that can contribute to the failure rate can include, but are not limited to, line bridging/breaking, contact hole closing/merging, etc., as well as “soft failures” such as from user-defined CD extrema, etc.
  • Disclosed embodiments may incorporate the failure rates of a design layout in an optimization process such as source mask optimization (SMO) to determine design variables such as a source variable (e.g., pupil shape) of an illumination source of a lithographic apparatus, or a mask variable (e.g., a mask bias) associated with a mask pattern used to print the design layout on the substrate.
  • SMO source mask optimization
  • the failure rate may be included in the SMO cost function (e.g., as a penalty function) along with other characteristics the cost function is computed based on.
  • a gradient of the cost function (e.g., including the failure -rate penalty function) may be computed with respect to the source or mask variables, and the cost function be minimized based on the gradient to arrive at the optimized source or mask variables.
  • FIG. 3 is a block diagram of an exemplary system 300 for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, consistent with various embodiments.
  • Figure 4 is a flow diagram of an exemplary method 400 for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, consistent with various embodiments.
  • an image model 325 obtains image data 410.
  • the image data 410 may include any of various types of images associated with a design layout to be printed on a substrate.
  • the image data 410 may include an aerial image, a resist image, an etch image, a mask image or other such image representing the design layout.
  • the image data 410 may include an aerial image 330 associated with the design layout.
  • the image model 325 generates the aerial image 330 based on a set of values 305a of design variables associated with a lithographic process or lithographic apparatus.
  • a design variable may include one or more of a target CD of the design layout, a mask bias, a mask type (e.g., binary mask, optical mask, EUV mask, etc.), a pupil of an illumination source of the lithographic apparatus, a dose of the illumination source, or a focus associated with radiation from the illumination source, or any other suitable variables that can be optimized in an OPC or SMO process.
  • the set of values 305a of the design variables may be provided as an input by a user or by any other means.
  • the image model 325 may generate the aerial image 330 in any suitable manner that is well known in the art without departing from the scope of the present disclosure.
  • the image model 325 may be implemented using one or more of the source model 31, projection optics model 32, design layout model 35, or resist model 37 of Figure 2 to obtain the aerial image 330 based on the set of values 305a.
  • the image model 325 may be configured (e.g., calibrated or trained) to generate an aerial image based on a set of values of the design variables.
  • mean CD data may be obtained (e.g., via a metrology tool or another source) for various patterns for different process conditions (e.g., different focus and dose values) and provided as an input to the image model 325 to train the image model 325 to generate an aerial image for each of the patterns.
  • the mean CD data may be obtained for a given pupil or for multiple pupils of an illumination source for training the image model 325.
  • image properties 335 are determined from the image data 410.
  • image properties 335 such as (a) a product of peak intensity and dose, (b) a product of intensity integral and dose, or (c) image log slope are extracted from the aerial image 330 (e.g., by a failure rate model 350).
  • the image properties 335 may be representative of a pattern corresponding to the design layout printed on the substrate.
  • the image model 325 may use any of a number of methods in determining the image properties 335 from the image data 410.
  • the failure rate model 350 predicts a failure rate 340 of the design layout based on the image properties 335.
  • a failure rate is defined as a number of occurrences of a pattern that failed to print on a substrate (e.g., according to the intended design) relative to the total number of occurrences of the pattern on the substrate.
  • a failure rate is defined as the probability that a pattern fails to print on a substrate (e.g., according to the intended design).
  • the failure rate model 350 predicts the failure rate 340 of the design layout for different process window conditions, for example, for different focus and dose values, thereby generating a failure rate process window.
  • a number of failure rates are predicted and each predicted failure rate is associated with a specific dose and focus value.
  • the process e.g., process P405-P415) may be repeated for a number of aerial images (e.g., generated for different sets of values of design variables) of the design layout to generate failure rate process windows for different aerial images.
  • the failure rate model 350 may be configured (e.g., calibrated or trained) in order to predict the failure rate for any given pattern.
  • the failure rate model 350 may be a machine learning (ML) model or a non-ML model (e.g., a physical model, an empirical model, a semi-empirical model, etc.) that is configured to predict a failure rate based on image properties of an image associated with a pattern, such as the image properties 335 of the aerial image 330.
  • the failure rate model 350 may be configured using a training dataset, which includes data such as (a) image properties of an aerial image associated with a given pattern, and (b) measured failure rate data of the given pattern.
  • the failure rate model 350 may be trained with a number of such training datasets having data associated with different aerial images of the given pattern, which are generated using different sets of values of design variables. Further, the failure rate model 350 may be trained with training datasets having data associated with a number of such patterns.
  • the measured failure rate data may be obtained from a number of sources such as from a scanned electron microscope (SEM) (e.g., by inspecting a patterned substrate) or other metrology tools.
  • configuring the failure rate model 350 to predict the failure rate includes correlating image properties of an aerial image associated with a given pattern with the measured failure rate of the given pattern to generate a function that is indicative of the relationship between the image properties and the measured failure rate of the given pattern.
  • the function determined by the failure rate model 350 may aid in predicting a failure rate for any given pattern based on the image properties of an aerial image associated with the given pattern. Additional details with reference to configuring the failure rate model 350 to correlate measured failure rates to image properties of an aerial image is described at least with reference to U.S. Patent No. 10,545,411, which is hereby incorporated by reference in its entirety.
  • a design variable selection model 375 determines a specified value 355 of a specified design variable to be used in a lithographic process for printing the design layout on a substrate based on the predicted failure rates 340.
  • the design variable selection model 375 may be configured to determine the specified value 355 of the design variable in a number of ways.
  • the design variable selection model 375 may be configured to select a value of a design variable for which the predicted failure rate 340 satisfies a failure rate condition as the specified value 355.
  • the failure rate condition may include a threshold failure rate or a range of failure rates, and the predicted failure rate 340 may satisfy the failure rate condition if it is below the threshold failure rate or within the range of failure rates.
  • the design variable selection model 375 may be configured to select a value of a design variable for which a predicted throughput value satisfies a throughput condition as the specified value 355.
  • the throughput condition may include a threshold throughput value, and the predicted throughput value may satisfy the throughput condition if it exceeds the threshold throughput value.
  • the design variable selection model 375 may interact with a throughput model to determine a predicted throughput.
  • the throughput model may take as input one or more of mask bias, dose, or focus values among other input data to determine a predicted throughput.
  • the design variable selection model 375 may be configured to select a value of a design variable for which a predicted local CD uniformity (LCDU) value satisfies a LCDU condition as the specified value 355.
  • the LCDU condition may include a threshold LCDU value, and the predicted LCDU value may satisfy the LCDU condition if it is below the threshold LCDU value.
  • the design variable selection model 375 may select one or more design variable values (e.g., target CD, mask bias, pupil, dose, focus, mask type, etc.) based on the failure rates, in addition to one or more other conditions based on the relationships (e.g., the throughputfailure rate relationship, the LCDU-mask bias relationship, the failure rate-mask bias relationship, etc.) between various lithographic process or apparatus related parameters described at least with reference to Figures 5 and 6.
  • the design variable selection model 375 may provide a graphical user interface (GUI) that illustrates these various relationships and how a value of the design variable or other lithographic metric is impacted when a value of one or more variables or lithographic metrics are changed.
  • GUI graphical user interface
  • the design variable selection model 375 may also be configured to determine at least one of a source variable of an illumination source or a mask variable of a mask pattern in a source mask optimization (SMO) process based on the predicted failure rate.
  • SMO source mask optimization
  • the source or mask variables are optimized using a cost function, such as the cost function of Eq. 1, which is a function of a number of design variables.
  • the cost function in SMO is represented as:
  • (z t ,z 2 , ... , z N ⁇ ) are N design variables or values thereof.
  • p (z 1 ,z 2 , ... , z N ⁇ ) can be a function of the design variables (z t , z 2 , ... , z w ) such as a difference between an actual value and an intended value of a characteristic at an evaluation point for a set of values of the design variables of (z t ,z 2 , ... ,z N ).
  • w p is a weight constant associated with /p(z 1 ,z 2 , ... , z N ). For example, fp z , z 2 , ...
  • the source or mask variables may be optimized based on a characteristic such as the EPE p z 1 ,z 2 , ... , z N y).
  • the predicted failure rates may also be added as a characteristic to the cost function based on which the source or mask variables are optimized (e.g., determined). For example, such a cost function may be represented as:
  • FR failure rate
  • F ⁇ threshold i a threshold failure rate, where FR > / ⁇ threshold- If FR ⁇ ⁇ threshold- then the FR penalty function is “0.”
  • w FR is a weight associated with the FR function, where n is the power of the FR penalty function, and wherein the EPE function and the FR penalty functions are evaluated across all process window PW (focus/dose) conditions.
  • the EPE characteristic is just one example characteristic and other such characteristics may be incorporated into the cost function, CF, in addition to, or instead of, the EPE characteristic.
  • the cost function may include more or less characteristics (e.g., functions f p of the design variables) than illustrated in Eq. A.
  • a gradient of the cost function in Eq. A is computed with respect to the source variables and the mask variables.
  • the gradient function is typically indicative of how sensitive a cost function is to a change in the source variable or the mask variable.
  • the source variable or mask variable may be optimized by minimizing the gradient functions.
  • the gradient function may be expressed as: dCF
  • Gm gradient of the cost function with respect to a mask variable, m
  • dCF is a derivative of the cost function of Eq. A
  • dm x is a derivative of a mask variable, m x
  • x is an index of a mask variable among X number of mask variables.
  • optimizing the gradient function is an iterative process, and the cost function in Eq. A may be optimized (e.g., reduced) until the gradient of the cost function, Gm, is minimized.
  • the mask variable value may be considered to be optimized.
  • a gradient of the cost function with respect to a source variable may be computed to optimize the source variable.
  • the gradient of the cost function may be expressed as:
  • Gs is gradient of the cost function with respect to a source variable, .s ; ds y is a derivative of a source variable, s y , and where y is an index of the source variable among Y number of source variables.
  • optimizing the gradient function is an iterative process, and the cost function in Eq. A is optimized (e.g., reduced) until the gradient of the cost function with respect to the source variable is minimized.
  • the source variable value is considered to be optimized.
  • a gradient of the predicted failure rate with respect to the aerial image may be computed to enable the SMO process based on the predicted failure rates.
  • FR function may be represented as: where Al represents the aerial image, term represents an aerial image property based on which the failure rate is predicted, t is an index of the image property among z number of aerial image properties considered for determining the failure rate.
  • a gradient of the failure rate for an aerial image with respect to a mask variable may be represented as follows:
  • GFRm is gradient of the failure rate for an aerial image with respect to a mask variable, m
  • dm x is a derivative of a mask variable, m x
  • x is an index of the mask variable among X number of mask variables.
  • the atennt may ;l
  • s0 be expressed as: dterm '- * dm x dAI dm x
  • a gradient of the failure rate for an aerial image with respect to a source variable may be represented as follows: 1,2, ... Y (Eq. F) where GFRs is gradient of the failure rate with respect to a source variable, .s; ds y is a derivative of a source variable, s y , and where y is an index of the source variable among Y number of source
  • the — — may also be expressed as:
  • optimizing the design variable is an iterative process, and the cost function in Eq. A is optimized (e.g., reduced) until the gradient of the cost function with respect to the source variable or the mask variable is minimized.
  • the source variable or the mask variable is considered to be optimized.
  • the term “patterning process” means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process.
  • design layout means an idealized pattern that is to be etched on a substrate.
  • the term “printed pattern” means the physical pattern on a substrate that was etched based on a design layout.
  • the printed pattern can include, for example, contact holes/vias, lines, troughs, channels, depressions, edges, or other two and three dimensional features resulting from a lithography process.
  • a process model means a model that includes one or more models that simulate a patterning process.
  • a process model can include any combination of: an optical model (e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist - also referred to herein as an aerial image), a mask model, a resist model (e.g., that models physical effects of the resist, such as chemical effects due to the light), an OPC model (e.g., that can be used to make design layouts and may include sub-resolution resist features (SRAFs), etc.), an imaging device model (e.g., that models what an imaging device may image from a printed pattern).
  • an optical model e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist - also referred to herein as an aerial image
  • imaging device means any number or combination of devices and associated computer hardware and software that can be configured to generate images of a target, such as the printed pattern or portions thereof.
  • Non-limiting examples of an imaging devices can include: scanning electron microscopes (SEMs), optical inspection or metrology systems, x-ray machines, UV (e.g., EUV) inspection or metrology systems, etc.
  • the term “calibrating” means to modify (e.g., improve or tune) and/or validate, such as the improving or validating a process model.
  • the present disclosure includes methods for predicting a failure rate from a patterning process for a design layout.
  • the method can include, for example, obtaining an image of the design layout in a lithography process, determining derivatives of the image intensity at select locations in the design layout, and determining the failure rate of the design layout based on the derivatives at the select locations.
  • the images used for determinations of failure rates do need not, in and of themselves, depict failures (e.g., showing literal contact hole-closing/merging).
  • features in the images can be utilized as select locations that are considered indicative of possible failures (as further discussed with reference to Figures 5A and 5B).
  • a mathematical model that calculates a failure rate can be constructed based on features (e.g., derivatives) of these select locations and known failure rates for patterns printed with these images.
  • the use of derivatives, or other terms as described herein, can be beneficial due to their known relationships to actual failures. Accordingly, such models can then be applied to other images to estimate their failure rates.
  • the image can be of any suitable type, for example, a mask image, an etch image, a resist image, a simulated image or a measured wafer image (such as via an SEM or optical inspection systems), etc.
  • the aerial image can be obtained by measurement (e.g., using an aerial image measurement system) or simulation of a lithography process
  • the methods disclosed herein can be performed between (or as part of) a lithographic process where, for example, the failure rate can be utilized for optimizing a pupil, a mask design, design rule optimization, lithography project optics optimization, or process and throughput monitoring and control, etc. of a lithography process based on the failure rate.
  • the present disclosure describes utilizing analysis of an image, such as an aerial image, resist image, etch image, etc., to identify select locations for possible failure and utilizing various models to predict a failure rate.
  • the identification and prediction can be based on the image, for example, in terms of a local intensity, including powers and/or derivatives of the intensity.
  • the disclosed failure rate models are not limited to any specific pattern or feature types; any suitable pattern or feature types can use the models without departing from the scope of the present disclosure, such as memory patterns, logic patterns, ID lines, or 2D contact hole patterns, other shapes such as 1.5D (e.g., line ends, end-to-run, tip-to-tip, etc.).
  • Figure 5A depicts an exemplary aerial image and a plot of intensity as taken along a line through the image, according to an embodiment.
  • the exemplary image 510 depicted in grayscale in the top panel provides a simplified presentation of intensity variations.
  • a horizontal line 512 is shown that goes through the image with values of the intensity along this line plotted in the bottom panel. Two failure modes can be identified from the depicted example.
  • One failure mode can be “line breaking” that refers to a line 514 (i.e., an elongate feature intended to go through a portion of the printed wafer, similar to a contact hole) undesirably breaking the line (see inset 522a, showing an exaggerated breaking of a line) (or the line not being fully formed) at a location, which can be caused by a low intensity in the aerial image as indicated in the illustration.
  • An exaggerated depiction is shown by the upper left inset 522a of the intensity plot 520 showing that the edges of the line 514 can be closer together than intended and thus “breaking” the intended line. This is also seen from the exemplary peaks 522 and 524, which have somewhat lower intensities than for similar lines and thus such points can be identified as candidates for failure.
  • Another failure mode that can occur in a wafer, such as indicated by the aerial image, can be “line bridging” which can be caused by the intensity between lines being too high. This is seen in the exemplary valleys 532 and 534 (and see inset 534a showing an exaggerated bridging of two adjacent lines), which have somewhat higher intensities than similar valleys between lines. In such cases, the higher intensity can cause additional removal of material that can act to “bridge” two lines. Accordingly, in some embodiments, the select locations can include bridging points in lines and/or breaking points between lines in the aerial images.
  • Such select locations can thereby be determined based on a location having an aerial intensity value that is either too high or too low (e.g., relative to a threshold that can be set by the model or a user) depending on whether it is in a line or between.
  • Such locations can thus be associated with local extrema in intensity, such as along a line as shown.
  • the select locations for analysis can include one or more contact holes (where contact hole filling may occur) or saddle points near the contact holes, which as explained further herein (see, Figure 5B) may be points in a “saddle-shaped” area (in intensity) of the image.
  • the saddle points can correspond to a location between contact holes where contact hole merging may occur.
  • the methods described in detail herein can be extended to other locations in the design layout/aerial image, resist image, etch image, etc., such as contact hole edges, other locations having local maxima/minima of intensity, slope or curvature, etc.
  • the details of some aspects of the disclosed failure rate models may utilize empirically determined features (e.g., coefficients in the model formula) and such features may be adjusted based on the particular failure mode and/or location.
  • Figure 5B depicts an exemplary aerial image and select locations that can be analyzed to determine a failure rate, according to an embodiment.
  • the example in Figure 5B depicts (bottom center) a portion of an aerial image 540 of a contact hole in the center and eight other contact holes partially shown at the edges.
  • the examples of select locations in the image are shown as representing contact holes 550 (i.e., a contact hole center) or a saddle point 560 (i.e., a location between two contact holes).
  • the insets above aerial image 540 show simplified representations of a closed contact hole (upper left) and saddle point (upper right) similar to what might appear on a wafer printed based on the aerial image.
  • the contact hole rather than having a purely open character, can be partially filled in (e.g., not have a large and distinct white region in the middle of the depicted aerial image).
  • a contact hole merging failure can be represented by as a more intense (or whiter) region between the contact holes.
  • the disclosed methods can identify the select locations based on the image and analyze them by calculating their derivatives (e.g., calculating the slope or curvature at numerous locations in the aerial image).
  • the derivatives of the aerial image can include first order derivatives, second order derivatives, or both that characterize a contact hole or a saddle point.
  • an idealized contact hole (filled in or not) would appear flat in the aerial image, resist image, etch image, etc. (i.e., first derivative equal to zero) at the center of the contact hole either due to the bottom of the contact hole trough (for a filled in contact hole) or a completely open space (for a contact hole that was not filled in).
  • the curvature (also described herein as based on a second derivative of the aerial image, resist image, etch image, etc.) can be very different in that for a filled in contact hole, there would be some curvature corresponding to the bottom of a trough.
  • curvature can be an approximate expression based on only the second derivative, but some embodiments can utilize other formulations that include first derivatives or other terms.
  • Figure 6 depicts an exemplary design layout depicted as GDS polygons with associated select locations for failure analysis, according to an embodiment.
  • select locations can be determined from the target pattern to be printed.
  • design layout features are described in terms of GDS coordinates/shapes
  • some embodiments can include identifying candidate select locations based on GDS polygons.
  • a target pattern 610 can have four contact holes depicted as squares.
  • select locations for contact holes 620 can be the centers (shown by the X in the shaded contact hole(s) 610) of a GDS polygons for the contact holes.
  • select locations for saddle-shaped areas can be midpoints 630 between GDS polygons representing contact holes.
  • Such implementations utilizing the GDS coordinates/polygons can have advantages in terms of simplicity and consistency of select location determination. For example, in some cases a complicated intensity pattern in the aerial image, resist image, etch image, etc. could identify select locations that may not accurately represent the desired features (e.g., the center of a contact hole or the idealized geometric location of a saddle point).
  • Figure 7 depicts an exemplary design layout 710 depicted as GDS polygons that include assist features and permissible select locations, according to an embodiment.
  • a method can utilize GDS information to exclude contact holes and/or saddle points from the select locations, for example where such contact holes/saddle points associated with assist features (or any other feature such as a sub-resolution assist feature, etc.) should not be used for failure rate determination.
  • Methods for such embodiments can include identifying an assist feature 712 in the GDS polygons, for example by such features being labelled in the GDS file, identified based on size, shape, or other geometric properties, etc..
  • the method can also include setting a distance threshold 720 from a center 714 of the assist feature 712 where the candidate select locations of saddle points within the distance threshold are excluded from the select locations.
  • a distance threshold 720 from a center 714 of the assist feature 712 where the candidate select locations of saddle points within the distance threshold are excluded from the select locations.
  • examples of such excluded saddle points 730 inside the circle depicting distance threshold 720 are shown.
  • some embodiments can include setting a distance limit 740 from the center of the assist feature, where some candidate select locations of the saddle points beyond the distance limit can be excluded from the select locations.
  • distance limit 740 is depicted by the outer circle, though in this example no excluded saddle points are shown.
  • such analysis can occur at multiple locations in an image, thus even though a location might be excluded in one case, for analysis done elsewhere in the image such a point could be between the instant distance threshold and distance limit, and thus may be included as a select location.
  • selecting locations may be based on the target pattern type in a design, e.g., without analyzing the aerial images, resist images, etch images, etc..
  • certain target pattern types can be categorically excluded from the disclosed processes for determining select locations.
  • design layouts can be characterized and referred to as “orthogonal” or “diagonal.”
  • Orthogonal design layouts are those in which contact holes had their nearest neighbors in orthogonal directions (as shown in Figures 5-7 and Figure 10, right).
  • perpendicular design layouts are those in which contact holes have their nearest neighbors in a generally diagonal direction (e.g., approximately 45°) - see e.g., Figure 10, left.
  • the midpoint(s) can be restricted to those between orthogonal GDS polygons representing contact holes.
  • Determination of select locations based on this criterion can facilitate methods where saddle points having low(er) probability of representing contact hole merging can be excluded. This is because the contact holes serving as the basis of saddle points between orthogonal contact holes are naturally closer than contact holes serving as the basis of saddle points between diagonal contact holes. Thus, the comparatively widely separated diagonal contact holes can be considered less likely to undergo contact hole merging and thereby the disclosed computational methods can efficiently exclude such locations from failure analysis.
  • some design layouts may have orthogonal and diagonal regions.
  • the models described herein may provide failure rate predictions by considering only select locations where the regions are all the same type (i.e., all orthogonal or all diagonal).
  • the improvements provided as described herein, for example, including additional terms such as curvature, etc. can result in determination of model features (e.g., fitting coefficients as described herein) that are advantageously robust to general designs that may have both orthogonal and diagonal regions.
  • hybrid method Certain aspects of the above methods of determining select locations can be combined into a “hybrid method.”
  • the determined select locations (such as from the method utilizing various derivatives) can be reused to, for example, speed up optimization of other design layouts (such as those having similar or same GDS elements), speeding up later optimization steps in a given design layout (again with possibly similar GDS features), etc.
  • Such a hybrid method can improve (or avoid) some analysis of a complex aerial image, resist image, etch image, etc. and calculation of its derivatives at some locations - which though very precise can be computationally expensive.
  • some embodiments can include determining a second failure rate for a second design layout (e.g., a different design layout) by reusing the select locations from the (first) design layout as fixed select locations in the second design layout.
  • the image can be updated to generate an updated image based on one or more optimizations of the image by an image generation model (e.g., the model that generates an aerial image, resist image, etch image, etc.). Updating the image can be, e.g., re-running an aerial image, resist image, etch image, etc. model with modified parameters or features.
  • determination of failure rate for the updated image can reuse the select locations, thereby speeding up the process as described above.
  • Figure 8 depicts an exemplary probability distribution function of aerial image intensity, according to an embodiment.
  • Contact hole blocking or merging can in some cases be treated as a stochastic process due to the complicated interactions of various physical and manufacturing processes. Accordingly, such failure mechanisms can be approximated by probability distribution functions.
  • the amount of “hole closing” can be characterized as the degree to which a contact hole remains blocked during the printing process, with such blocking being at least somewhat random.
  • the intensity of the aerial image which for example can be related to the dose to the photoresist used during the manufacturing process, can thus be correlated to the observed probabilities of such contact holes closing/merging.
  • determining the failure rate can be based on a function 810 that approximates the distribution of intensities over at least a portion of the design layout.
  • a function 810 that approximates the distribution of intensities over at least a portion of the design layout.
  • the function is a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function when integrated. Such integration, as detailed for certain examples below, can thus estimate the failure rate of the design layout.
  • the function in Figure 8 represents an exemplary Gaussian probability distribution function (PDF) of intensity of the aerial image at select location(s).
  • PDF Gaussian probability distribution function
  • Such a distribution can represent the aerial image, resist image, etch image, etc. intensity at a select location in multiple wafers or multiple similar locations on a given wafer.
  • other functions can be utilized such that it is not essential that function representing intensities be of a particular form.
  • other possible functions can include a Lorentzian, fitted curves to empirical data (that might approximate a Gaussian or other functions), a gamma distribution, etc.
  • aerial image intensities fall within an acceptable intensity band about some mean intensity (e.g., the mean intensity shown by the vertical dashed line in the center of the PDF).
  • mean intensity e.g., the mean intensity shown by the vertical dashed line in the center of the PDF.
  • aerial images of indicative of locations having the failures would have more intensities outside such a band.
  • intensities are represented by the area under the curve on the respective wings of the PDF. Such areas can be thereby associated with failures and thus calculation of such areas can be utilized to estimate a failure rate due to each (or in combination).
  • some embodiments can include determining the failure rate by integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate.
  • the intensity utilized for calculation of failure rates can be an actual intensity in real physical units or may be scaled in a variety of ways, such as, for example, scaled with a dose or other similar factor.
  • Figure 9 depicts exemplary alternative functions for determining a failure rate, according to various embodiments.
  • a determination of failure rates can be made from expressions based on portions of cumulative distribution functions (CDFs) 910 of functions such as the PDF of Figure 8, or other similar PDFs disclosed herein.
  • CDFs cumulative distribution functions
  • the functions plotted in Figure 9 are examples of different CDFs for various Gaussian PDFs. These examples have respective widths s, and in one case a different 1 ⁇ , expressed in Figure 9 as m.
  • the boxed portions can be well-represented by exponential or linear functions, shown by the example Equations 3 and 4, below.
  • the function can be an exponential function that approximates a portion 920 of the cumulative distribution function of a Gaussian probability distribution function:
  • the function can be a linear function that approximates a portion 930 of the cumulative distribution function of a Gaussian probability distribution function:
  • the coefficients in Eqs. 3 and 4 (cO, cl, c2 can be determined based on a fit of the model to experimental data of real printed patterns with known failure rates.
  • the term Cp represents the curvature of the aerial image, resist image, etch image, etc. at the select location used to determine a failure rate. Further details related to such utilization of curvature are discussed further herein, particularly with regard to Figures 10 and 11.
  • Figure 10 depicts an exemplary aerial image likely indicating a contact hole closure failure, according to various embodiments.
  • the failure rate can be at least partially due to contact hole closing at a select location representing a contact hole center.
  • some embodiments of the method can include utilizing derivatives of the image to determine a peak curvature associated with a contact hole.
  • curvature can be expressed as a second derivative of a curve or surface.
  • curvature can vary (e.g., including higher order derivative terms), the present disclosure contemplates utilization of any such equivalent or approximately equivalent expressions.
  • Utilization of the curvature at select locations of the aerial image is shown conceptually by the two insets below the exemplary aerial image in Figure 10.
  • the middle inset shows a simplified example of a closed version of contact hole 1020 depicted in the center of aerial image 1010.
  • the area at the bottom of the closed contact hole (again assumed to be the result of the intensity shown in the aerial image) can be considered to have a fairly large curvature, represented by the comparatively small-radius circle 1030.
  • Equation 1 can be modified to include a curvature term Cp, as shown in Equation 5 :
  • this Cp refers to a peak curvature, which in the simplified example just presented would typically be where the contact hole closes (i.e., at or near the center of the contact hole). It can be seen that curvatures elsewhere on the contact hole would likely be smaller. Also, as previously mentioned, other coefficients cO, cl, and c2 are terms that are modified when fitting the model to aerial images with new failure rates.
  • the present disclosure is not limited to determination of failure rates associated only with contact holes and saddle points.
  • aerial images, resist images, etch images, etc. of 1-D shapes e.g., lines
  • the 2D model forms disclosed herein can be modified to calculate failure rates for such ID objects.
  • Eq. 5 can use the second derivative at a line bridging location or a line breaking location, with the model fitting providing appropriate coefficients determined from known failure rates, as described herein.
  • the same substitutions can be performed in the exemplary models described herein to allow determination of failure rates associated with ID objects.
  • a line bridging (or breaking) location can also have a saddle-shape and thus the saddle point model forms described below can be applied to such locations. Similar models having powers and higher order derivatives can be utilized for select locations of such ID objects. Accordingly, in some embodiments the failure rate is associated with line bridging or line breaking can be determined at a select location representing local extrema (e.g., in intensity as depicted in Figure 5A) in a line or between lines. The disclosed methods can then utilize an intensity and the derivatives of the aerial image at the select location to calculate a failure rate.
  • Figure 11 depicts an exemplary aerial image likely indicating a contact hole merging failure, according to various embodiments. Determined failure rates can be at least partially due to contact hole merging at a select location representing a saddle point. As shown in Figure 11, the saddle points between contact holes in the aerial image 1110 have a curvature associated with them. This is clearly seen by the simplified three-dimensional rendering of an exemplary saddle point area 1120. Accordingly, similar to determining a curvature for a contact hole described with reference to Figure 10, some embodiments can include utilizing the derivatives to determine a saddle point curvature associated with the saddle point.
  • the curvature at a saddle point can vary depending on the line through the saddle point along which the curvature is calculated.
  • two examples of such directional curvatures can be a positive saddle point curvature and a negative saddle point curvature.
  • the positive saddle point curvature is depicted by the dashed arrow 1130 in the saddle point area where the contour of the saddle point area is opening upward.
  • the negative saddle point curvature is depicted by the solid arrow 1140 where the contour of the saddle point area is opening downward.
  • the functional form of the failure rate due to contact hole merging can include terms based on the curvature at the saddle point.
  • the derivatives utilized to determine the failure rate can include a positive saddle point curvature at the saddle point, a negative saddle point curvature at the saddle point, or both a positive saddle point curvature at the saddle point and a negative saddle point curvature at the saddle point.
  • Equation 6 One example equation showing last example (both positive and negative curvatures) is shown in Equation 6:
  • the derivatives can include first order derivatives or gradients of the image that can represent a contrast of the image.
  • Use of such derivatives can arise by considering a Taylor expansion of the area around a point of interest (such as the aforementioned select location(s)).
  • the expansion of the image intensity (in one dimension) at such a location (xO) can be represented as in Equation 7:
  • the second order derivative can be considered related to the curvature described above.
  • intensities in an image can be expanded as a Fourier series or expanded in terms of powers of sines and cosines, with similar 1 st , 2 nd , etc. order terms to the Taylor expansion given above.
  • the present disclosure contemplates determinations of failure rates for multiple failure sites.
  • Such model embodiments can include determining powers and/or cross terms of the image intensity and the derivatives.
  • the total failure rate can be expressed by combining the failure rates over the failure sites. Mathematically, this can be expressed by the example shown in Equation 8:
  • Equation 9 when the failure rate for the two select locations are expressed by multiple terms, such as in Eq. 4, the resulting total failure rate would be the combined failure rates from those two locations. Mathematically, this can be expressed by the example shown in Equation 9:
  • the failure rate can be based at least on squares of an intensity and a curvature of portions of the image.
  • Figure 12 depicts an exemplary reduction of variation in determined failure rates, according to various embodiments.
  • the present disclosure provides methods that improve the consistency of the failure rate model by adjusting some image parameters to better match the experimentally measured CDs. This is accomplished by applying empirical offsets to one or more parameters (e.g., focus, dose, CD etc.,) used by the image simulator that generates the images (e.g., aerial images, resist images, etch images, etc.) for which the predicted failure rates are computed.
  • image simulator means any software which can do a physical calculation, based on the input scanner and mask conditions, that describes the aerial image, resist images, etch images, etc. projected on to the wafer.
  • Some embodiments of the disclosed methods can include generating the image with an image simulator, the generating can include applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns.
  • the offset can subsequently reduce a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset.
  • the offset can also act to reduce a variation of other parameters characterizing the image such as line width roughness, critical dimensions (CDs), etc.
  • the image parameter that is offset can include one or more of a focus, a dose, pitch, source, a metrology CD, or a mask CD, etc.
  • the offsets can be set by a user or can be calculated to produce the most improvement, such as by varying any combination of offsets until the variation in determined failure rates is minimized.
  • Figure 12 shows one example of the reduced variation in predicted failure rates after applying offsets to focus, dose, pitch, and source to an aerial image generation model.
  • the top panel 1210 depicts critical dimensions for a number of images where the model value is on the vertical axis and the measured value (e.g., from an actual wafer) is on the horizontal axis. As seen, the generally linear collection of CDs exhibits apparent offsets due to coming from different image types.
  • the second panel 1220 depicts an exemplary modeled failure rate (vertical axis) against a measured failure rate (horizontal axis) also using images generated without such offsets.
  • the third panel 1230 depicts the result of applying offsets as described above to reduce the variation of the CDs between the images.
  • the bottom panel 1240 depicts more consistent failure rates by showing that applying the offsets reduces the variation of determined failure rates. In the depicted example, the R 2 of the data shown is increased from 0.53 to 0.83.
  • Figure 13 is a block diagram of an example computer system CS, according to an embodiment.
  • Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processor) coupled with bus BS for processing information.
  • Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO.
  • Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor PRO.
  • Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO.
  • a storage device SD such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
  • Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display DS such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device ID is coupled to bus BS for communicating information and command selections to processor PRO.
  • cursor control CC such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM.
  • Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD.
  • Execution of the sequences of instructions contained in main memory MM causes processor PRO to perform the process steps described herein.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device SD.
  • Volatile media include dynamic memory, such as main memory MM.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge.
  • Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the features described herein.
  • Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS.
  • Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions.
  • the instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
  • Computer system CS may also include a communication interface CI coupled to bus BS.
  • Communication interface CI provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN.
  • communication interface CI may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface CI may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface CI sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link NDL typically provides data communication through one or more networks to other data devices.
  • network link NDL may provide a connection through local network LAN to a host computer HC.
  • This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT.
  • Internet WorldNet Services Inc.
  • Internet both use electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network data link NDL and through communication interface CI, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
  • Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CI.
  • host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN and communication interface CI.
  • One such downloaded application may provide all or part of a method described herein, for example.
  • the received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other nonvolatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
  • Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • the lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS.
  • Illumination system IL can condition a beam B of radiation.
  • the illumination system also comprises a radiation source SO.
  • First object table (e.g., patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS.
  • a patterning device MA e.g., a reticle
  • Second object table (substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS.
  • a substrate W e.g., a resist-coated silicon wafer
  • Projection system (“lens”) PS e.g., a refractive, catoptric or catadioptric optical system
  • a target portion C e.g., comprising one or more dies
  • the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device).
  • the apparatus may employ a different kind of patterning device to classic mask; examples include a programmable mirror array or LCD matrix.
  • the source SO e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source
  • the illuminator IL may comprise adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as G-outcr and G-inner, respectively) of the intensity distribution in the beam.
  • adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as G-outcr and G-inner, respectively) of the intensity distribution in the beam.
  • G-outcr and G-inner commonly referred to as G-outcr and G-inner, respectively
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
  • source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).
  • the beam PB can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning apparatus (and interferometric measuring apparatus IF), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of beam PB. Similarly, the first positioning apparatus can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning).
  • patterning device table MT may just be connected to a short stroke actuator, or may be fixed.
  • the depicted tool can be used in two different modes, step mode and scan mode.
  • step mode patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single “flash”) onto a target portion C.
  • Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam PB.
  • FIG. 15 is a schematic diagram of another lithographic projection apparatus (LPA), according to an embodiment.
  • LPA lithographic projection apparatus
  • LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation), support structure MT, substrate table WT, and projection system PS.
  • a radiation beam B e.g., EUV radiation
  • support structure MT e.g., substrate table WT
  • projection system PS e.g., projection system
  • Support structure e.g., a patterning device table
  • MT can be constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
  • a patterning device e.g., a mask or a reticle
  • Substrate table e.g., a wafer table
  • WT can be constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate.
  • a substrate e.g., a resist coated wafer
  • Projection system e.g., a reflective projection system
  • PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
  • LPA can be of a reflective type (e.g., employing a reflective patterning device).
  • the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon.
  • the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • Illuminator IL can receive an extreme ultraviolet radiation beam from source collector module SO.
  • Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • Source collector module SO may be part of an EUV radiation system including a laser for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • the laser and the source collector module may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
  • the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • Illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as o- outer and o-inncr, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g., an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of radiation beam B.
  • the second positioner PW and position sensor PS2 e.g., an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PSI can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g., mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks Pl, P2.
  • the depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode.
  • step mode the support structure (e.g., patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g., patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of substrate table WT relative to the support structure (e.g., patterning device table) MT may be determined by the (de- )magnification and image reversal characteristics of the projection system PS.
  • the support structure e.g., patterning device table
  • substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array.
  • Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
  • LPA can include the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure ES of the source collector module SO.
  • An EUV radiation emitting hot plasma HP may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma HP is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the hot plasma HP is created by, for example, an electrical discharge causing at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma HP is passed from a source chamber SC into a collector chamber CC via an optional gas barrier or contaminant trap CT (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber SC.
  • the contaminant trap CT may include a channel structure.
  • Contamination trap CT may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier CT further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber CC may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side US and a downstream radiation collector side DS. Radiation that traverses radiation collector CO can be reflected off a grating spectral filter SF to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line ‘O’.
  • the virtual source point IF can be referred to as the intermediate focus, and the source collector module can be arranged such that the intermediate focus IF is located at or near an opening OP in the enclosing structure ES.
  • the virtual source point IF is an image of the radiation emitting plasma HP.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter SF may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS.
  • Collector optic CO can be a nested collector with grazing incidence reflectors GR, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors GR are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
  • Figure 17 is a detailed view of source collector module SO of lithographic projection apparatus LPA, according to an embodiment.
  • Source collector module SO may be part of an LPA radiation system.
  • a laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma HP with electron temperatures of several 10's of eV.
  • Xe xenon
  • Sn tin
  • Li lithium
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths.
  • Emerging technologies already in use include EUV (extreme ultraviolet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • a method of predicting a failure rate for a design layout comprising: obtaining an image of the design layout associated with a lithography process; determining derivatives of the image intensity at select locations in the design layout; and determining the failure rate of the design layout based on the derivatives at the select locations.
  • determining the failure rate includes integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate.
  • a system for predicting a failure rate for a design layout comprising: at least one programmable processor; and a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer having the at least one programmable processor cause operations as in any of clauses 1-30.
  • the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Methods, software, and systems are disclosed for predicting a failure rate for a design layout. The predicting can include obtaining an image of the design layout in a lithography process. Derivatives of the image intensity at select locations in the design layout can be determined. The failure rate of the design layout can be determined based on the derivatives at the select locations.

Description

METHODS, SYSTEMS, AND SOFTWARE FOR DETERMINATION OF FAILURE RATES OF
LITHOGRAPHIC PROCESSES
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of US application 63/318,087 which was filed on March 9, 2022 and which is incorporated herein in its entirety by reference.
TECHNICAL FIELD
[0002] The description herein relates generally to lithographic manufacturing and patterning processes. More particularly, the disclosure includes apparatus, methods, and computer programs for determining failure rates for design layouts used with lithographic processes.
BACKGROUND
[0003] A lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, a patterning device (e.g., a mask) may contain or provide a pattern corresponding to an individual layer of the IC (“design layout”), and this pattern can be transferred onto a target portion (e.g., comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device. In general, a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time. In one type of lithographic projection apparatuses, the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus may also be referred to as a stepper. In an alternative apparatus, a step-and-scan apparatus can cause a projection beam to scan over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
[0004] Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
[0005] Thus, manufacturing devices, such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process. A patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
[0006] As noted, lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.
[0007] As semiconductor manufacturing processes continue to advance, the dimensions of functional elements have continually been reduced while the amount of functional elements, such as transistors, per device has been steadily increasing over decades, following a trend referred to as “Moore’s law.” At the current state of technology, layers of devices are manufactured using lithographic projection apparatuses that project a design layout onto a substrate using illumination from a deep-ultraviolet illumination source, creating individual functional elements having dimensions well below 100 nm, i.e. less than half the wavelength of the radiation from the illumination source (e.g., a 193 nm illumination source).
[0008] This process in which features with dimensions smaller than the classical resolution limit of a lithographic projection apparatus are printed, is can be referred to as low-kl lithography, according to the resolution formula CD = klx /NA, where X is the wavelength of radiation employed (e.g., 248 nm or 193 nm), NA is the numerical aperture of projection optics in the lithographic projection apparatus, CD is the “critical dimension’ -generally the smallest feature size printed-and kl is an empirical resolution factor. In general, the smaller kl the more difficult it becomes to reproduce a pattern on the substrate that resembles the shape and dimensions planned by a designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine- tuning steps are applied to the lithographic projection apparatus, the design layout, or the patterning device. These include, for example, but not limited to, optimization of NA and optical coherence settings, customized illumination schemes, use of phase shifting patterning devices, optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). The term “projection optics” as used herein should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures and catadioptric optics, for example. The term “projection optics” may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly. The term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus. Projection optics may include optical components for shaping, adjusting and/or projecting radiation from the source before the radiation passes the patterning device, and/or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device. The projection optics generally exclude the source and the patterning device.
SUMMARY
[0009] According to an embodiment, a method of predicting a failure rate for a design layout includes obtaining an image of the design layout in a lithography process; determining derivatives of the image intensity at select locations in the design layout; and determining the failure rate of the design layout based on the derivatives at the select locations.
[0010] In some embodiments, the image can be an aerial image (measured or simulated). In other embodiments, the image can be a resist image.
[0011] In other embodiments, the method can include optimizing a pupil, a mask design, design rule optimization, lithography project optics optimization, or process and throughput monitoring and control of a lithography process based on the predicted failure rate.
[0012] In yet other embodiments, the select locations can include one or more bridging points in one or more lines or breaking points between one or more lines. The select locations include one or more of contact holes or saddle points corresponding to a point in a saddle-shaped area of the image that is between contact holes. The select locations can be identified based on the image and the derivatives that include first order derivatives or second order derivatives that characterize a contact hole or a saddle point.
[0013] In some embodiments, the method can include identifying candidate select locations based on GDS polygons, where select locations for contact holes can be centers of the GDS polygons for the contact holes and where select locations for saddle-shaped areas can be midpoints between GDS polygons representing contact holes.
[0014] In other embodiments, GDS information can be utilized to exclude contact holes and saddle points from the select locations. The utilizing can include identifying an assist feature in the GDS polygons; and setting a distance threshold from a center of the assist feature, where the candidate select locations of saddle points within the distance threshold are excluded from the select locations. The method can also include setting a distance limit from the center of the assist feature, where the candidate select locations of the saddle points beyond the distance limit are excluded from the select locations. The midpoints can be between orthogonal GDS polygons representing contact holes. [0015] In yet other embodiments, The method can include determining a second failure rate for a second design layout, the determining including reusing the select locations from the design layout as second fixed locations in the second design layout. The image can be updated to generate an updated image based on one or more optimizations of the image by an image generation model, wherein the determination of failure rate for the updated image reuses the select locations.
[0016] In some embodiments, the method can include determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout. The function can be a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function. Determining the failure rate can include integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate. The function can be an exponential function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function or a linear function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
[0017] In other embodiments, the failure rate can be associated with line bridging or line breaking at a select location representing local extrema in a line or between lines, respectively. The method can include utilizing an intensity of the image and the derivatives at the select location.
[0018] In yet other embodiments, the failure rate can be associated with contact hole closing at a select location representing a contact hole center, the method further comprising utilizing the derivatives to determine a peak curvature associated with a contact hole.
[0019] In some embodiments, the failure rate can be associated with contact hole merging at a select location representing a saddle point, the method further comprising utilizing the derivatives to determine a saddle point curvature associated with the saddle point. The derivatives can include a positive saddle point curvature at the saddle point, a negative saddle point curvature at the saddle point, or both a positive saddle point curvature at the saddle point and a negative saddle point curvature at the saddle point. In other embodiments, the derivatives can include first order derivatives or gradients of the image that represent a contrast of the image.
[0020] In other embodiments, determining the failure rate can be for multiple failure sites, and include determining powers and/or cross terms of the image intensity and the derivatives. The failure rate can be based at least on squares of an intensity and a curvature of portions of the image.
[0021] In yet other embodiments, the image can be generated with an image simulator. The generating can include applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns, the offset subsequently reducing a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset. The image parameter can include one or more of a focus, a dose, a metrology CD, or a mask CD.
[0022] In some embodiments, there can be a non-transitory computer readable medium having instructions recorded thereon for predicting a failure rate for a design layout, the instructions when executed by a computer having at least one programmable processor cause operations comprising any of the operations in the above method embodiments.
[0023] In some embodiments, there can be a system for predicting a failure rate for a design layout, the system comprising: at least one programmable processor; and a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer having the at least one programmable processor cause operations comprising any of the operations in the above method embodiments.
BRIEF DESCRIPTION OF THE DRAWINGS
[0024] The accompanying drawings, which are incorporated in and constitute a part of this specification, show certain aspects of the subject matter disclosed herein and, together with the description, help explain some of the principles associated with the disclosed implementations. In the drawings,
[0025] Figure 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus, according to an embodiment.
[0026] Figure 2 illustrates an exemplary flow chart for simulating lithography in a lithographic projection apparatus, according to an embodiment.
[0027] Figure 3 is a block diagram of an exemplary system for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, according to an embodiment.
[0028] Figure 4 is a flow diagram of an exemplary method for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, according to an embodiment.
[0029] Figure 5 A depicts an exemplary aerial image and a plot of intensity as taken along a line through the image, according to an embodiment.
[0030] Figure 5B depicts an exemplary aerial image and select locations that can be analyzed to determine a failure rate, according to an embodiment.
[0031] Figure 6 depicts an exemplary design layout depicted as GDS polygons with associated select locations for failure analysis, according to an embodiment.
[0032] Figure 7 depicts an exemplary design layout depicted as GDS polygons that include assist features and permissible select locations, according to an embodiment. [0033] Figure 8 depicts an exemplary probability distribution function of aerial image intensity, according to an embodiment.
[0034] Figure 9 depicts exemplary alternative functions for determining a failure rate, according to various embodiments.
[0035] Figure 10 depicts an exemplary aerial image likely indicating a contact hole closure failure, according to various embodiments.
[0036] Figure 11 depicts an exemplary aerial image likely indicating a contact hole merging failure, according to various embodiments.
[0037] Figure 12 depicts an exemplary improvement in fitting aerial image model parameters by modifying an aerial image model, according to various embodiments.
[0038] Figure 13 is a block diagram of an example computer system, according to an embodiment. [0039] Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
[0040] Figure 15 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
[0041] Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
[0042] Figure 17 is a detailed view of the source collector module of the lithographic projection apparatus, according to an embodiment.
DETAILED DESCRIPTION
[0043] Although specific reference may be made in this text to the manufacture of ICs, it should be explicitly understood that the description herein has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “reticle”, “wafer” or “die” in this text should be considered as interchangeable with the more general terms “mask”, “substrate” and “target portion”, respectively.
[0044] In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g., having a wavelength in the range of about 5-100 nm).
[0045] The patterning device can comprise, or can form, one or more design layouts. The design layout can be generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the devices or lines do not interact with one another in an undesirable way. One or more of the design rule limitations may be referred to as “critical dimension” (CD). A critical dimension of a device can be defined as the smallest width of a line or contact hole or the smallest space between two lines or two contact holes. Thus, the CD determines the overall size and density of the designed device. Of course, one of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
[0046] The term “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective; binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
[0047] An example of a programmable mirror array can be a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. Using an appropriate filter, the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic methods.
[0048] An example of a programmable LCD array is given in U.S. Patent No. 5,229,872, which is incorporated herein by reference.
[0049] Figure 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus 10A, according to an embodiment. Major components are a radiation source 12A, which may be a deep-ultraviolet excimer laser source or other type of source including an extreme ultraviolet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which, e.g., define the partial coherence (denoted as sigma) and which may include optics 14A, 16Aa and 16Ab that shape radiation from the source 12A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A. An adjustable filter or aperture 20A at the pupil plane of the projection optics may restrict the range of beam angles that impinge on the substrate plane 22A, where the largest possible angle defines the numerical aperture of the projection optics NA= n sin(0max), wherein n is the refractive index of the media between the substrate and the last element of the projection optics, and 0max is the largest angle of the beam exiting from the projection optics that can still impinge on the substrate plane 22A. [0050] In a lithographic projection apparatus, a source provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate. The projection optics may include at least some of the components 14A, 16Aa, 16Ab and 16Ac. An aerial image (Al) is the radiation intensity distribution at substrate level. A resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety. The resist model is related only to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake (PEB) and development). Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, the patterning device and the projection optics) dictate the aerial image and can be defined in an optical model. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the source and the projection optics. Details of techniques and models used to transform a design layout into various lithographic images (e.g., an aerial image, a resist image, etc.), apply OPC using those techniques and models and evaluate performance (e.g., in terms of process window) are described in U.S. Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, and 2010-0180251, the disclosure of each which is hereby incorporated by reference in its entirety.
[0051] One aspect of understanding a lithographic process is understanding the interaction of the radiation and the patterning device. The electromagnetic field of the radiation after the radiation passes the patterning device may be determined from the electromagnetic field of the radiation before the radiation reaches the patterning device and a function that characterizes the interaction. This function may be referred to as the mask transmission function (which can be used to describe the interaction by a transmissive patterning device and/or a reflective patterning device).
[0052] The mask transmission function may have a variety of different forms. One form is binary. A binary mask transmission function has either of two values (e.g., zero and a positive constant) at any given location on the patterning device. A mask transmission function in the binary form may be referred to as a binary mask. Another form is continuous. Namely, the modulus of the transmittance (or reflectance) of the patterning device is a continuous function of the location on the patterning device. The phase of the transmittance (or reflectance) may also be a continuous function of the location on the patterning device. A mask transmission function in the continuous form may be referred to as a continuous tone mask or a continuous transmission mask (CTM). For example, the CTM may be represented as a pixelated image, where each pixel may be assigned a value between 0 and 1 (e.g., 0.1, 0.2, 0.3, etc.) instead of binary value of either 0 or 1. In an embodiment, CTM may be a pixelated gray scale image, where each pixel having values (e.g., within a range [-255, 255], normalized values within a range [0, 1] or [-1, 1] or other appropriate ranges). [0053] The thin-mask approximation, also called the Kirchhoff boundary condition, is widely used to simplify the determination of the interaction of the radiation and the patterning device. The thin-mask approximation assumes that the thickness of the structures on the patterning device is very small compared with the wavelength and that the widths of the structures on the mask are very large compared with the wavelength. Therefore, the thin-mask approximation assumes the electromagnetic field after the patterning device is the multiplication of the incident electromagnetic field with the mask transmission function. However, as lithographic processes use radiation of shorter and shorter wavelengths, and the structures on the patterning device become smaller and smaller, the assumption of the thin-mask approximation can break down. For example, interaction of the radiation with the structures (e.g., edges between the top surface and a sidewall) because of their finite thicknesses (“mask 3D effect” or “M3D”) may become significant. Encompassing this scattering in the mask transmission function may enable the mask transmission function to better capture the interaction of the radiation with the patterning device. A mask transmission function under the thin-mask approximation may be referred to as a thin-mask transmission function. A mask transmission function encompassing M3D may be referred to as a M3D mask transmission function.
[0054] According to an embodiment of the present disclosure, one or more images may be generated. The images includes various types of signal that may be characterized by pixel values or intensity values of each pixel. Depending on the relative values of the pixel within the image, the signal may be referred as, for example, a weak signal or a strong signal, as may be understood by a person of ordinary skill in the art. The term “strong” and “weak” are relative terms based on intensity values of pixels within an image and specific values of intensity may not limit scope of the present disclosure. In an embodiment, the strong and weak signal may be identified based on a selected threshold value. In an embodiment, the threshold value may be fixed (e.g., a midpoint of a highest intensity and a lowest intensity of pixel within the image. In an embodiment, a strong signal may refer to a signal with values greater than or equal to an average signal value across the image and a weak signal may refer to signal with values less than the average signal value. In an embodiment, the relative intensity value may be based on percentage. For example, the weak signal may be signal having intensity less than 50% of the highest intensity of the pixel (e.g., pixels corresponding to design layout may be considered pixels with highest intensity) within the image. Furthermore, each pixel within an image may considered as a variable. According to the present embodiment, derivatives or partial derivative may be determined with respect to each pixel within the image and the values of each pixel may be determined or modified according to a cost function based evaluation and/or gradient based computation of the cost function. For example, a CTM image may include pixels, where each pixel is a variable that can take any real value.
[0055] Figure 2 illustrates an exemplary flow chart for simulating lithography in a lithographic projection apparatus, according to an embodiment. Source model 31 represents optical characteristics (including radiation intensity distribution and/or phase distribution) of the source. Projection optics model 32 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics. Design layout model 35 represents optical characteristics of a design layout (including changes to the radiation intensity distribution and/or the phase distribution caused by design layout 33), which is the representation of an arrangement of features on or formed by a patterning device. Aerial image 36 can be simulated from design layout model 35, projection optics model 32, and design layout model 35. Resist image 38 can be simulated from aerial image 36 using resist model 37. Simulation of lithography can, for example, predict contours and CDs in the resist image.
[0056] More specifically, it is noted that source model 31 can represent the optical characteristics of the source that include, but not limited to, numerical aperture settings, illumination sigma (o) settings as well as any particular illumination shape (e.g., off-axis radiation sources such as annular, quadrupole, dipole, etc.). Projection optics model 32 can represent the optical characteristics of the projection optics, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc. Design layout model 35 can represent one or more physical properties of a physical patterning device, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated by reference in its entirety. The objective of the simulation is to accurately predict, for example, edge placement, aerial image intensity slope and/or CD, which can then be compared against an intended design. The intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
[0057] From this design layout, one or more portions may be identified, which are referred to as “clips”. In an embodiment, a set of clips is extracted, which represents the complicated patterns in the design layout (typically about 50 to 1000 clips, although any number of clips may be used). These patterns or clips represent small portions (i.e., circuits, cells or patterns) of the design and more specifically, the clips typically represent small portions for which particular attention and/or verification is needed. In other words, clips may be the portions of the design layout, or may be similar or have a similar behavior of portions of the design layout, where one or more critical features are identified either by experience (including clips provided by a customer), by trial and error, or by running a full-chip simulation. Clips may contain one or more test patterns or gauge patterns.
[0058] An initial larger set of clips may be provided a priori by a customer based on one or more known critical feature areas in a design layout which require particular image optimization. Alternatively, in another embodiment, an initial larger set of clips may be extracted from the entire design layout by using some kind of automated (such as machine vision) or manual algorithm that identifies the one or more critical feature areas.
[0059] The lithographic apparatus may include components collectively called a “wavefront manipulator” that can be used to adjust the shape of a wavefront and intensity distribution and/or phase shift of a radiation beam. In an embodiment, the lithographic apparatus can adjust a wavefront and intensity distribution at any location along an optical path of the lithographic projection apparatus, such as before the patterning device, near a pupil plane, near an image plane, and/or near a focal plane. The wavefront manipulator can be used to correct or compensate for certain distortions of the wavefront and intensity distribution and/or phase shift caused by, for example, the source, the patterning device, temperature variation in the lithographic projection apparatus, thermal expansion of components of the lithographic projection apparatus, etc. Adjusting the wavefront and intensity distribution and/or phase shift can change values of the characteristics represented by the cost function. Such changes can be simulated from a model or actually measured. The design variables can include parameters of the wavefront manipulator.
[0060] The present disclosure provides improved methods of determining a failure rate of a design layout. Examples of failure modes that can contribute to the failure rate can include, but are not limited to, line bridging/breaking, contact hole closing/merging, etc., as well as “soft failures” such as from user-defined CD extrema, etc.
[0061] Disclosed embodiments may incorporate the failure rates of a design layout in an optimization process such as source mask optimization (SMO) to determine design variables such as a source variable (e.g., pupil shape) of an illumination source of a lithographic apparatus, or a mask variable (e.g., a mask bias) associated with a mask pattern used to print the design layout on the substrate. For example, the failure rate may be included in the SMO cost function (e.g., as a penalty function) along with other characteristics the cost function is computed based on. A gradient of the cost function (e.g., including the failure -rate penalty function) may be computed with respect to the source or mask variables, and the cost function be minimized based on the gradient to arrive at the optimized source or mask variables.
[0062] The following paragraphs describe a system and a method to predict failure rates in a lithographic process using an image associated with a design layout, and to determine a value of a design variable based on the predicted failure rate to be used in a lithographic process to print a design layout on a substrate. Figure 3 is a block diagram of an exemplary system 300 for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, consistent with various embodiments. Figure 4 is a flow diagram of an exemplary method 400 for determining a value of a design variable to be used in a lithographic process based on predicted failure rates of a pattern, consistent with various embodiments.
[0063] At process P405, an image model 325 obtains image data 410. The image data 410 may include any of various types of images associated with a design layout to be printed on a substrate. For example, the image data 410 may include an aerial image, a resist image, an etch image, a mask image or other such image representing the design layout. In some embodiments, the image data 410 may include an aerial image 330 associated with the design layout. In some embodiments, the image model 325 generates the aerial image 330 based on a set of values 305a of design variables associated with a lithographic process or lithographic apparatus. A design variable may include one or more of a target CD of the design layout, a mask bias, a mask type (e.g., binary mask, optical mask, EUV mask, etc.), a pupil of an illumination source of the lithographic apparatus, a dose of the illumination source, or a focus associated with radiation from the illumination source, or any other suitable variables that can be optimized in an OPC or SMO process. The set of values 305a of the design variables may be provided as an input by a user or by any other means. The image model 325 may generate the aerial image 330 in any suitable manner that is well known in the art without departing from the scope of the present disclosure. For example, the image model 325 may be implemented using one or more of the source model 31, projection optics model 32, design layout model 35, or resist model 37 of Figure 2 to obtain the aerial image 330 based on the set of values 305a. In some embodiments, the image model 325 may be configured (e.g., calibrated or trained) to generate an aerial image based on a set of values of the design variables. For example, mean CD data may be obtained (e.g., via a metrology tool or another source) for various patterns for different process conditions (e.g., different focus and dose values) and provided as an input to the image model 325 to train the image model 325 to generate an aerial image for each of the patterns. The mean CD data may be obtained for a given pupil or for multiple pupils of an illumination source for training the image model 325.
[0064] At process P410, image properties 335 are determined from the image data 410. For example, image properties 335 such as (a) a product of peak intensity and dose, (b) a product of intensity integral and dose, or (c) image log slope are extracted from the aerial image 330 (e.g., by a failure rate model 350). The image properties 335 may be representative of a pattern corresponding to the design layout printed on the substrate. The image model 325 may use any of a number of methods in determining the image properties 335 from the image data 410.
[0065] At process P415, the failure rate model 350 predicts a failure rate 340 of the design layout based on the image properties 335. In some embodiments, a failure rate is defined as a number of occurrences of a pattern that failed to print on a substrate (e.g., according to the intended design) relative to the total number of occurrences of the pattern on the substrate. In some embodiments, a failure rate is defined as the probability that a pattern fails to print on a substrate (e.g., according to the intended design). In some embodiments, the failure rate model 350 predicts the failure rate 340 of the design layout for different process window conditions, for example, for different focus and dose values, thereby generating a failure rate process window. That is, in some embodiments, for a given aerial image 330 (e.g., generated for a given set of values of design variables), a number of failure rates are predicted and each predicted failure rate is associated with a specific dose and focus value. In some embodiments, the process (e.g., process P405-P415) may be repeated for a number of aerial images (e.g., generated for different sets of values of design variables) of the design layout to generate failure rate process windows for different aerial images.
[0066] In some embodiments, the failure rate model 350 may be configured (e.g., calibrated or trained) in order to predict the failure rate for any given pattern. The failure rate model 350 may be a machine learning (ML) model or a non-ML model (e.g., a physical model, an empirical model, a semi-empirical model, etc.) that is configured to predict a failure rate based on image properties of an image associated with a pattern, such as the image properties 335 of the aerial image 330. For example, the failure rate model 350 may be configured using a training dataset, which includes data such as (a) image properties of an aerial image associated with a given pattern, and (b) measured failure rate data of the given pattern. The failure rate model 350 may be trained with a number of such training datasets having data associated with different aerial images of the given pattern, which are generated using different sets of values of design variables. Further, the failure rate model 350 may be trained with training datasets having data associated with a number of such patterns. The measured failure rate data may be obtained from a number of sources such as from a scanned electron microscope (SEM) (e.g., by inspecting a patterned substrate) or other metrology tools. In some embodiments, configuring the failure rate model 350 to predict the failure rate includes correlating image properties of an aerial image associated with a given pattern with the measured failure rate of the given pattern to generate a function that is indicative of the relationship between the image properties and the measured failure rate of the given pattern. After the failure rate model 350 is calibrated with a number of training datasets, the function determined by the failure rate model 350 may aid in predicting a failure rate for any given pattern based on the image properties of an aerial image associated with the given pattern. Additional details with reference to configuring the failure rate model 350 to correlate measured failure rates to image properties of an aerial image is described at least with reference to U.S. Patent No. 10,545,411, which is hereby incorporated by reference in its entirety.
[0067] At process P420, a design variable selection model 375 determines a specified value 355 of a specified design variable to be used in a lithographic process for printing the design layout on a substrate based on the predicted failure rates 340. The design variable selection model 375 may be configured to determine the specified value 355 of the design variable in a number of ways. For example, the design variable selection model 375 may be configured to select a value of a design variable for which the predicted failure rate 340 satisfies a failure rate condition as the specified value 355. In some embodiments, the failure rate condition may include a threshold failure rate or a range of failure rates, and the predicted failure rate 340 may satisfy the failure rate condition if it is below the threshold failure rate or within the range of failure rates. In another example, the design variable selection model 375 may be configured to select a value of a design variable for which a predicted throughput value satisfies a throughput condition as the specified value 355. In some embodiments, the throughput condition may include a threshold throughput value, and the predicted throughput value may satisfy the throughput condition if it exceeds the threshold throughput value. In some embodiments, the design variable selection model 375 may interact with a throughput model to determine a predicted throughput. The throughput model may take as input one or more of mask bias, dose, or focus values among other input data to determine a predicted throughput. [0068] In another example, the design variable selection model 375 may be configured to select a value of a design variable for which a predicted local CD uniformity (LCDU) value satisfies a LCDU condition as the specified value 355. In some embodiments, the LCDU condition may include a threshold LCDU value, and the predicted LCDU value may satisfy the LCDU condition if it is below the threshold LCDU value.
[0069] In some embodiments, the design variable selection model 375 may select one or more design variable values (e.g., target CD, mask bias, pupil, dose, focus, mask type, etc.) based on the failure rates, in addition to one or more other conditions based on the relationships (e.g., the throughputfailure rate relationship, the LCDU-mask bias relationship, the failure rate-mask bias relationship, etc.) between various lithographic process or apparatus related parameters described at least with reference to Figures 5 and 6. The design variable selection model 375 may provide a graphical user interface (GUI) that illustrates these various relationships and how a value of the design variable or other lithographic metric is impacted when a value of one or more variables or lithographic metrics are changed.
[0070] In some embodiments, the design variable selection model 375 may also be configured to determine at least one of a source variable of an illumination source or a mask variable of a mask pattern in a source mask optimization (SMO) process based on the predicted failure rate. In some embodiments, in the SMO process, the source or mask variables are optimized using a cost function, such as the cost function of Eq. 1, which is a function of a number of design variables. Typically, the cost function in SMO is represented as:
Figure imgf000015_0001
[0071] wherein (zt,z2, ... , zN~) are N design variables or values thereof. p(z1,z2, ... , zN~) can be a function of the design variables (zt, z2, ... , zw) such as a difference between an actual value and an intended value of a characteristic at an evaluation point for a set of values of the design variables of (zt,z2, ... ,zN). wp is a weight constant associated with /p(z1,z2, ... , zN). For example, fp z , z2, ... , zw) of an evaluation point can be simply a distance between a point in the resist image to an intended position of that point (i.e., edge placement error EPEp (zt, z2, ... , zN)). In some embodiments, the source or mask variables may be optimized based on a characteristic such as the EPEp z1,z2, ... , zNy). The predicted failure rates may also be added as a characteristic to the cost function based on which the source or mask variables are optimized (e.g., determined). For example, such a cost function may be represented as:
CF(z1,z2, ... , ZN) = EPEC0St + wFR p=i(PR - ™ threshold) A Eq. A) [0072] where EPEC0St is the EPE related function of design variables and
Figure imgf000016_0001
^threshold) A?1 is the failure rate (“FR”) related penalty function, FR is the predicted failure rate, F^threshold is a threshold failure rate, where FR > /^threshold- If FR < ^threshold- then the FR penalty function is “0.” wFR is a weight associated with the FR function, where n is the power of the FR penalty function, and wherein the EPE function and the FR penalty functions are evaluated across all process window PW (focus/dose) conditions. Note that the EPE characteristic is just one example characteristic and other such characteristics may be incorporated into the cost function, CF, in addition to, or instead of, the EPE characteristic. Further, the cost function may include more or less characteristics (e.g., functions fp of the design variables) than illustrated in Eq. A.
[0073] In some embodiments, a gradient of the cost function in Eq. A is computed with respect to the source variables and the mask variables. The gradient function is typically indicative of how sensitive a cost function is to a change in the source variable or the mask variable. The source variable or mask variable may be optimized by minimizing the gradient functions. The gradient function may be expressed as: dCF
Gm = ^ dm-x, x = 1,2, ... X (Eq. B) where Gm is gradient of the cost function with respect to a mask variable, m; dCF is a derivative of the cost function of Eq. A, dmx is a derivative of a mask variable, mx, and where x is an index of a mask variable among X number of mask variables.
[0074] In some embodiments, optimizing the gradient function is an iterative process, and the cost function in Eq. A may be optimized (e.g., reduced) until the gradient of the cost function, Gm, is minimized. When the gradient of the cost function is minimized, the mask variable value may be considered to be optimized.
[0075] Similar to the gradient of the cost function of Eq. B, a gradient of the cost function with respect to a source variable may be computed to optimize the source variable. The gradient of the cost function may be expressed as:
Figure imgf000016_0002
[0076] where Gs is gradient of the cost function with respect to a source variable, .s ; dsy is a derivative of a source variable, sy, and where y is an index of the source variable among Y number of source variables.
[0077] In some embodiments, optimizing the gradient function is an iterative process, and the cost function in Eq. A is optimized (e.g., reduced) until the gradient of the cost function with respect to the source variable is minimized. When the gradient of the cost function is minimized, the source variable value is considered to be optimized.
[0078] In some embodiments, a gradient of the predicted failure rate with respect to the aerial image may be computed to enable the SMO process based on the predicted failure rates. For example, the failure rate, FR, may be computed (e.g., by the failure rate model 350) as a function of image properties of an aerial image - FR = (term^ term2, termz). One example of such an FR function may be represented as:
Figure imgf000017_0001
where Al represents the aerial image, term represents an aerial image property based on which the failure rate is predicted, t is an index of the image property among z number of aerial image properties considered for determining the failure rate.
[0079] A gradient of the failure rate for an aerial image with respect to a mask variable may be represented as follows:
GFRm = ^-d^,x = 1,2, ... X (Eq. E) where GFRm is gradient of the failure rate for an aerial image with respect to a mask variable, m; dmx is a derivative of a mask variable, mx, and where x is an index of the mask variable among X number of mask variables. In some embodiments, the atennt may ;l|s0 be expressed as: dterm'- * dmx dAI dmx
[0080] A gradient of the failure rate for an aerial image with respect to a source variable may be represented as follows: 1,2, ... Y (Eq. F)
Figure imgf000017_0002
where GFRs is gradient of the failure rate with respect to a source variable, .s; dsy is a derivative of a source variable, sy, and where y is an index of the source variable among Y number of source
• 1 1 r 1 1. 1 dtermt , , . dtermt dAI variables. In some embodiments, the — — may also be expressed as:
Figure imgf000017_0004
Figure imgf000017_0003
[0081] In some embodiments, optimizing the design variable is an iterative process, and the cost function in Eq. A is optimized (e.g., reduced) until the gradient of the cost function with respect to the source variable or the mask variable is minimized. When the gradient of the cost function is minimized, the source variable or the mask variable is considered to be optimized. [0082] As used herein, the term “patterning process” means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process.
[0083] As used herein, the term “design layout” means an idealized pattern that is to be etched on a substrate.
[0084] As used herein, the term “printed pattern” means the physical pattern on a substrate that was etched based on a design layout. The printed pattern can include, for example, contact holes/vias, lines, troughs, channels, depressions, edges, or other two and three dimensional features resulting from a lithography process.
[0085] As used herein, the term “process model” means a model that includes one or more models that simulate a patterning process. For example, a process model can include any combination of: an optical model (e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist - also referred to herein as an aerial image), a mask model, a resist model (e.g., that models physical effects of the resist, such as chemical effects due to the light), an OPC model (e.g., that can be used to make design layouts and may include sub-resolution resist features (SRAFs), etc.), an imaging device model (e.g., that models what an imaging device may image from a printed pattern).
[0086] As used herein, the term “imaging device” means any number or combination of devices and associated computer hardware and software that can be configured to generate images of a target, such as the printed pattern or portions thereof. Non-limiting examples of an imaging devices can include: scanning electron microscopes (SEMs), optical inspection or metrology systems, x-ray machines, UV (e.g., EUV) inspection or metrology systems, etc.
[0087] As used herein, the term “calibrating” means to modify (e.g., improve or tune) and/or validate, such as the improving or validating a process model.
[0088] The present disclosure includes methods for predicting a failure rate from a patterning process for a design layout. The method can include, for example, obtaining an image of the design layout in a lithography process, determining derivatives of the image intensity at select locations in the design layout, and determining the failure rate of the design layout based on the derivatives at the select locations. As explained further herein, the images used for determinations of failure rates do need not, in and of themselves, depict failures (e.g., showing literal contact hole-closing/merging).
However, features in the images (locations of minima/maxima associated with lines, centers of contact holes, saddle points, contact hole edges, etc.) can be utilized as select locations that are considered indicative of possible failures (as further discussed with reference to Figures 5A and 5B). A mathematical model that calculates a failure rate can be constructed based on features (e.g., derivatives) of these select locations and known failure rates for patterns printed with these images. The use of derivatives, or other terms as described herein, can be beneficial due to their known relationships to actual failures. Accordingly, such models can then be applied to other images to estimate their failure rates. [0089] While the examples provided herein are generally described and shown (e.g., in the figures) as an aerial image, the present disclosure contemplates that the image can be of any suitable type, for example, a mask image, an etch image, a resist image, a simulated image or a measured wafer image (such as via an SEM or optical inspection systems), etc. Also, in various embodiments, the aerial image can be obtained by measurement (e.g., using an aerial image measurement system) or simulation of a lithography process The methods disclosed herein can be performed between (or as part of) a lithographic process where, for example, the failure rate can be utilized for optimizing a pupil, a mask design, design rule optimization, lithography project optics optimization, or process and throughput monitoring and control, etc. of a lithography process based on the failure rate.
[0090] In general, the present disclosure describes utilizing analysis of an image, such as an aerial image, resist image, etch image, etc., to identify select locations for possible failure and utilizing various models to predict a failure rate. The identification and prediction can be based on the image, for example, in terms of a local intensity, including powers and/or derivatives of the intensity. The disclosed failure rate models are not limited to any specific pattern or feature types; any suitable pattern or feature types can use the models without departing from the scope of the present disclosure, such as memory patterns, logic patterns, ID lines, or 2D contact hole patterns, other shapes such as 1.5D (e.g., line ends, end-to-run, tip-to-tip, etc.).
[0091] Figure 5A depicts an exemplary aerial image and a plot of intensity as taken along a line through the image, according to an embodiment. The exemplary image 510 depicted in grayscale in the top panel provides a simplified presentation of intensity variations. A horizontal line 512 is shown that goes through the image with values of the intensity along this line plotted in the bottom panel. Two failure modes can be identified from the depicted example. One failure mode can be “line breaking” that refers to a line 514 (i.e., an elongate feature intended to go through a portion of the printed wafer, similar to a contact hole) undesirably breaking the line (see inset 522a, showing an exaggerated breaking of a line) (or the line not being fully formed) at a location, which can be caused by a low intensity in the aerial image as indicated in the illustration. An exaggerated depiction is shown by the upper left inset 522a of the intensity plot 520 showing that the edges of the line 514 can be closer together than intended and thus “breaking” the intended line. This is also seen from the exemplary peaks 522 and 524, which have somewhat lower intensities than for similar lines and thus such points can be identified as candidates for failure.
[0092] Another failure mode that can occur in a wafer, such as indicated by the aerial image, can be “line bridging” which can be caused by the intensity between lines being too high. This is seen in the exemplary valleys 532 and 534 (and see inset 534a showing an exaggerated bridging of two adjacent lines), which have somewhat higher intensities than similar valleys between lines. In such cases, the higher intensity can cause additional removal of material that can act to “bridge” two lines. Accordingly, in some embodiments, the select locations can include bridging points in lines and/or breaking points between lines in the aerial images. Such select locations can thereby be determined based on a location having an aerial intensity value that is either too high or too low (e.g., relative to a threshold that can be set by the model or a user) depending on whether it is in a line or between. Such locations can thus be associated with local extrema in intensity, such as along a line as shown.
[0093] As previously mentioned, the select locations for analysis can include one or more contact holes (where contact hole filling may occur) or saddle points near the contact holes, which as explained further herein (see, Figure 5B) may be points in a “saddle-shaped” area (in intensity) of the image. The saddle points can correspond to a location between contact holes where contact hole merging may occur. However, the methods described in detail herein can be extended to other locations in the design layout/aerial image, resist image, etch image, etc., such as contact hole edges, other locations having local maxima/minima of intensity, slope or curvature, etc. As will be further described, the details of some aspects of the disclosed failure rate models may utilize empirically determined features (e.g., coefficients in the model formula) and such features may be adjusted based on the particular failure mode and/or location.
[0094] Figure 5B depicts an exemplary aerial image and select locations that can be analyzed to determine a failure rate, according to an embodiment. The example in Figure 5B depicts (bottom center) a portion of an aerial image 540 of a contact hole in the center and eight other contact holes partially shown at the edges. The examples of select locations in the image are shown as representing contact holes 550 (i.e., a contact hole center) or a saddle point 560 (i.e., a location between two contact holes).
[0095] The insets above aerial image 540 show simplified representations of a closed contact hole (upper left) and saddle point (upper right) similar to what might appear on a wafer printed based on the aerial image. For example, the contact hole, rather than having a purely open character, can be partially filled in (e.g., not have a large and distinct white region in the middle of the depicted aerial image). Similarly, for saddle points, where a white-appearing contact hole should be separated by a black region (the wafer surface), a contact hole merging failure can be represented by as a more intense (or whiter) region between the contact holes.
[0096] In some embodiments, the disclosed methods can identify the select locations based on the image and analyze them by calculating their derivatives (e.g., calculating the slope or curvature at numerous locations in the aerial image). For example, the derivatives of the aerial image can include first order derivatives, second order derivatives, or both that characterize a contact hole or a saddle point.
[0097] For contact holes, an idealized contact hole (filled in or not) would appear flat in the aerial image, resist image, etch image, etc. (i.e., first derivative equal to zero) at the center of the contact hole either due to the bottom of the contact hole trough (for a filled in contact hole) or a completely open space (for a contact hole that was not filled in). The curvature (also described herein as based on a second derivative of the aerial image, resist image, etch image, etc.) can be very different in that for a filled in contact hole, there would be some curvature corresponding to the bottom of a trough. In contrast, an open contact hole would have (mathematically) zero curvature due to being flat in the aerial image, resist image, etch image, etc.. As used herein, the term “curvature” can be an approximate expression based on only the second derivative, but some embodiments can utilize other formulations that include first derivatives or other terms.
[0098] Figure 6 depicts an exemplary design layout depicted as GDS polygons with associated select locations for failure analysis, according to an embodiment. In some embodiments, select locations can be determined from the target pattern to be printed. For example, where design layout features are described in terms of GDS coordinates/shapes, some embodiments can include identifying candidate select locations based on GDS polygons. As shown in Figure 6, one example of a target pattern 610 can have four contact holes depicted as squares. Similar to the example of Figure 5B, select locations for contact holes 620 can be the centers (shown by the X in the shaded contact hole(s) 610) of a GDS polygons for the contact holes. Also, select locations for saddle-shaped areas (also referred to herein as “saddle points”) can be midpoints 630 between GDS polygons representing contact holes. Such implementations utilizing the GDS coordinates/polygons can have advantages in terms of simplicity and consistency of select location determination. For example, in some cases a complicated intensity pattern in the aerial image, resist image, etch image, etc. could identify select locations that may not accurately represent the desired features (e.g., the center of a contact hole or the idealized geometric location of a saddle point).
[0099] Figure 7 depicts an exemplary design layout 710 depicted as GDS polygons that include assist features and permissible select locations, according to an embodiment. In some embodiments, a method can utilize GDS information to exclude contact holes and/or saddle points from the select locations, for example where such contact holes/saddle points associated with assist features (or any other feature such as a sub-resolution assist feature, etc.) should not be used for failure rate determination. Methods for such embodiments can include identifying an assist feature 712 in the GDS polygons, for example by such features being labelled in the GDS file, identified based on size, shape, or other geometric properties, etc.. The method can also include setting a distance threshold 720 from a center 714 of the assist feature 712 where the candidate select locations of saddle points within the distance threshold are excluded from the select locations. In Figure 7, examples of such excluded saddle points 730 inside the circle depicting distance threshold 720 are shown. Similarly, some embodiments can include setting a distance limit 740 from the center of the assist feature, where some candidate select locations of the saddle points beyond the distance limit can be excluded from the select locations. In Figure 7, distance limit 740 is depicted by the outer circle, though in this example no excluded saddle points are shown. In some embodiments, such analysis can occur at multiple locations in an image, thus even though a location might be excluded in one case, for analysis done elsewhere in the image such a point could be between the instant distance threshold and distance limit, and thus may be included as a select location. [00100] In some embodiments, selecting locations may be based on the target pattern type in a design, e.g., without analyzing the aerial images, resist images, etch images, etc.. In some embodiments, certain target pattern types can be categorically excluded from the disclosed processes for determining select locations. As used herein, and described in further detail elsewhere in the disclosure, some design layouts (or target pattern types) can be characterized and referred to as “orthogonal” or “diagonal.” Orthogonal design layouts are those in which contact holes had their nearest neighbors in orthogonal directions (as shown in Figures 5-7 and Figure 10, right). In contrast, perpendicular design layouts are those in which contact holes have their nearest neighbors in a generally diagonal direction (e.g., approximately 45°) - see e.g., Figure 10, left. Accordingly, in some embodiments, the midpoint(s) can be restricted to those between orthogonal GDS polygons representing contact holes. Determination of select locations based on this criterion can facilitate methods where saddle points having low(er) probability of representing contact hole merging can be excluded. This is because the contact holes serving as the basis of saddle points between orthogonal contact holes are naturally closer than contact holes serving as the basis of saddle points between diagonal contact holes. Thus, the comparatively widely separated diagonal contact holes can be considered less likely to undergo contact hole merging and thereby the disclosed computational methods can efficiently exclude such locations from failure analysis.
[0100] Also, some design layouts may have orthogonal and diagonal regions. In some embodiments, the models described herein may provide failure rate predictions by considering only select locations where the regions are all the same type (i.e., all orthogonal or all diagonal). The improvements provided as described herein, for example, including additional terms such as curvature, etc. can result in determination of model features (e.g., fitting coefficients as described herein) that are advantageously robust to general designs that may have both orthogonal and diagonal regions.
[0101] Certain aspects of the above methods of determining select locations can be combined into a “hybrid method.” In this hybrid method, the determined select locations (such as from the method utilizing various derivatives) can be reused to, for example, speed up optimization of other design layouts (such as those having similar or same GDS elements), speeding up later optimization steps in a given design layout (again with possibly similar GDS features), etc. Such a hybrid method can improve (or avoid) some analysis of a complex aerial image, resist image, etch image, etc. and calculation of its derivatives at some locations - which though very precise can be computationally expensive. Specifically for the example of failure rate determination, some embodiments can include determining a second failure rate for a second design layout (e.g., a different design layout) by reusing the select locations from the (first) design layout as fixed select locations in the second design layout. Similarly, in some embodiments, the image can be updated to generate an updated image based on one or more optimizations of the image by an image generation model (e.g., the model that generates an aerial image, resist image, etch image, etc.). Updating the image can be, e.g., re-running an aerial image, resist image, etch image, etc. model with modified parameters or features. In such embodiments, determination of failure rate for the updated image can reuse the select locations, thereby speeding up the process as described above.
[0102] Figure 8 depicts an exemplary probability distribution function of aerial image intensity, according to an embodiment. Contact hole blocking or merging can in some cases be treated as a stochastic process due to the complicated interactions of various physical and manufacturing processes. Accordingly, such failure mechanisms can be approximated by probability distribution functions. For example, the amount of “hole closing” can be characterized as the degree to which a contact hole remains blocked during the printing process, with such blocking being at least somewhat random. The intensity of the aerial image, which for example can be related to the dose to the photoresist used during the manufacturing process, can thus be correlated to the observed probabilities of such contact holes closing/merging. Thus, in some embodiments, determining the failure rate can be based on a function 810 that approximates the distribution of intensities over at least a portion of the design layout. One example as shown in Figure 8 is where the function is a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function when integrated. Such integration, as detailed for certain examples below, can thus estimate the failure rate of the design layout.
[0103] The function in Figure 8 represents an exemplary Gaussian probability distribution function (PDF) of intensity of the aerial image at select location(s). Such a distribution can represent the aerial image, resist image, etch image, etc. intensity at a select location in multiple wafers or multiple similar locations on a given wafer. While the example above is for a Gaussian function, other functions can be utilized such that it is not essential that function representing intensities be of a particular form. For example, other possible functions can include a Lorentzian, fitted curves to empirical data (that might approximate a Gaussian or other functions), a gamma distribution, etc. Conceptually, for an aerial image that would produce a mask with a low failure rate, it can be expected that the aerial image intensities fall within an acceptable intensity band about some mean intensity (e.g., the mean intensity shown by the vertical dashed line in the center of the PDF). However, aerial images of indicative of locations having the failures would have more intensities outside such a band. These intensities are represented by the area under the curve on the respective wings of the PDF. Such areas can be thereby associated with failures and thus calculation of such areas can be utilized to estimate a failure rate due to each (or in combination). The depicted limits of each wing that contribute to the failure rate are referred to herein as a threshold of contact hole closing (thc) and threshold of contact hole merging
Figure imgf000023_0001
Along with other parameters such as the intensity (Imax) at the maximum of the PDF and the characteristic width (s) of the PDF, the respective failure rates (hole closing rate: HCR, contact hole merging rate: HMR) can be calculated from Equations (1) and (2), below:
Figure imgf000024_0001
Accordingly, some embodiments can include determining the failure rate by integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate. Also, while present disclosure contemplates that the intensity utilized for calculation of failure rates can be an actual intensity in real physical units or may be scaled in a variety of ways, such as, for example, scaled with a dose or other similar factor.
[0104] Figure 9 depicts exemplary alternative functions for determining a failure rate, according to various embodiments. In some embodiments, a determination of failure rates can be made from expressions based on portions of cumulative distribution functions (CDFs) 910 of functions such as the PDF of Figure 8, or other similar PDFs disclosed herein. The functions plotted in Figure 9 are examples of different CDFs for various Gaussian PDFs. These examples have respective widths s, and in one case a different 1^, expressed in Figure 9 as m. Importantly, the boxed portions can be well-represented by exponential or linear functions, shown by the example Equations 3 and 4, below. In some implementations, the function can be an exponential function that approximates a portion 920 of the cumulative distribution function of a Gaussian probability distribution function:
HCR = exp (ct ■ Imax + c2 ■ Cp + c0). (Eq. 3)
In some implementations, the function can be a linear function that approximates a portion 930 of the cumulative distribution function of a Gaussian probability distribution function:
Figure imgf000024_0002
The coefficients in Eqs. 3 and 4 (cO, cl, c2 can be determined based on a fit of the model to experimental data of real printed patterns with known failure rates. The term Cp represents the curvature of the aerial image, resist image, etch image, etc. at the select location used to determine a failure rate. Further details related to such utilization of curvature are discussed further herein, particularly with regard to Figures 10 and 11.
[0105] Figure 10 depicts an exemplary aerial image likely indicating a contact hole closure failure, according to various embodiments. In some embodiments, instead of, or alternatively to, utilization of the values of intensity at select locations of the aerial image, other characterizations of the select locations can be used. For example, the failure rate can be at least partially due to contact hole closing at a select location representing a contact hole center. Thus, some embodiments of the method can include utilizing derivatives of the image to determine a peak curvature associated with a contact hole. Mathematically, the term “curvature” can be expressed as a second derivative of a curve or surface. While some forms of the “curvature” can vary (e.g., including higher order derivative terms), the present disclosure contemplates utilization of any such equivalent or approximately equivalent expressions. Utilization of the curvature at select locations of the aerial image is shown conceptually by the two insets below the exemplary aerial image in Figure 10. The middle inset shows a simplified example of a closed version of contact hole 1020 depicted in the center of aerial image 1010. The area at the bottom of the closed contact hole (again assumed to be the result of the intensity shown in the aerial image) can be considered to have a fairly large curvature, represented by the comparatively small-radius circle 1030. In contrast, for an aerial image that would be indicative of an open contact hole 1040 as shown in the bottom inset, the curvature of the aerial image would be much smaller (i.e., essentially flat) as illustrated by the large radius arc 1050. Accordingly, such characterizations of curvatures of the aerial image can provide further improvement for predicting failure rates. Thus, in certain embodiments, Equation 1 can be modified to include a curvature term Cp, as shown in Equation 5 :
Figure imgf000025_0001
Again, this Cp refers to a peak curvature, which in the simplified example just presented would typically be where the contact hole closes (i.e., at or near the center of the contact hole). It can be seen that curvatures elsewhere on the contact hole would likely be smaller. Also, as previously mentioned, other coefficients cO, cl, and c2 are terms that are modified when fitting the model to aerial images with new failure rates.
[0106] Again, the present disclosure is not limited to determination of failure rates associated only with contact holes and saddle points. As previously discussed with reference to Figure 5A, aerial images, resist images, etch images, etc. of 1-D shapes (e.g., lines) can also be analyzed for failure locations and their associated estimated failure rates. Thus, the 2D model forms disclosed herein can be modified to calculate failure rates for such ID objects. For example, Eq. 5 can use the second derivative at a line bridging location or a line breaking location, with the model fitting providing appropriate coefficients determined from known failure rates, as described herein. The same substitutions can be performed in the exemplary models described herein to allow determination of failure rates associated with ID objects. As another example, a line bridging (or breaking) location can also have a saddle-shape and thus the saddle point model forms described below can be applied to such locations. Similar models having powers and higher order derivatives can be utilized for select locations of such ID objects. Accordingly, in some embodiments the failure rate is associated with line bridging or line breaking can be determined at a select location representing local extrema (e.g., in intensity as depicted in Figure 5A) in a line or between lines. The disclosed methods can then utilize an intensity and the derivatives of the aerial image at the select location to calculate a failure rate.
[0107] Figure 11 depicts an exemplary aerial image likely indicating a contact hole merging failure, according to various embodiments. Determined failure rates can be at least partially due to contact hole merging at a select location representing a saddle point. As shown in Figure 11, the saddle points between contact holes in the aerial image 1110 have a curvature associated with them. This is clearly seen by the simplified three-dimensional rendering of an exemplary saddle point area 1120. Accordingly, similar to determining a curvature for a contact hole described with reference to Figure 10, some embodiments can include utilizing the derivatives to determine a saddle point curvature associated with the saddle point.
[0108] It is understood that the curvature at a saddle point can vary depending on the line through the saddle point along which the curvature is calculated. As depicted in Figure 11, two examples of such directional curvatures can be a positive saddle point curvature and a negative saddle point curvature. The positive saddle point curvature is depicted by the dashed arrow 1130 in the saddle point area where the contour of the saddle point area is opening upward. Similarly, the negative saddle point curvature is depicted by the solid arrow 1140 where the contour of the saddle point area is opening downward. Accordingly, the functional form of the failure rate due to contact hole merging can include terms based on the curvature at the saddle point. The derivatives utilized to determine the failure rate can include a positive saddle point curvature at the saddle point, a negative saddle point curvature at the saddle point, or both a positive saddle point curvature at the saddle point and a negative saddle point curvature at the saddle point. One example equation showing last example (both positive and negative curvatures) is shown in Equation 6:
Figure imgf000026_0001
[0109] While the above examples disclose improvements to the determination of the failure rate based on additional geometric characterizations of the aerial image, resist image, etch image, etc., yet other embodiments can include, in any combination, other order derivatives and/or powers of the aerial image, resist image, etch image, etc. intensity. For example, the derivatives can include first order derivatives or gradients of the image that can represent a contrast of the image. Use of such derivatives can arise by considering a Taylor expansion of the area around a point of interest (such as the aforementioned select location(s)). The expansion of the image intensity (in one dimension) at such a location (xO) can be represented as in Equation 7:
Figure imgf000027_0001
The second order derivative can be considered related to the curvature described above.
However, additional terms such, third order derivatives, or derivatives of yet higher order, can be added to the equations above and fit with appropriate coefficients. In other embodiments, intensities in an image can be expanded as a Fourier series or expanded in terms of powers of sines and cosines, with similar 1st, 2nd, etc. order terms to the Taylor expansion given above.
[0110] Furthermore, the present disclosure contemplates determinations of failure rates for multiple failure sites. Such model embodiments can include determining powers and/or cross terms of the image intensity and the derivatives. For example, the total failure rate can be expressed by combining the failure rates over the failure sites. Mathematically, this can be expressed by the example shown in Equation 8:
FRtotai = 1 - n”=i(l - FRt). (Eq. 8)
As one specific example, when the failure rate for the two select locations are expressed by multiple terms, such as in Eq. 4, the resulting total failure rate would be the combined failure rates from those two locations. Mathematically, this can be expressed by the example shown in Equation 9:
Figure imgf000027_0002
Thus, in such an embodiment, the failure rate can be based at least on squares of an intensity and a curvature of portions of the image.
[0111] Figure 12 depicts an exemplary reduction of variation in determined failure rates, according to various embodiments. The present disclosure provides methods that improve the consistency of the failure rate model by adjusting some image parameters to better match the experimentally measured CDs. This is accomplished by applying empirical offsets to one or more parameters (e.g., focus, dose, CD etc.,) used by the image simulator that generates the images (e.g., aerial images, resist images, etch images, etc.) for which the predicted failure rates are computed. As used herein, “image simulator” means any software which can do a physical calculation, based on the input scanner and mask conditions, that describes the aerial image, resist images, etch images, etc. projected on to the wafer. See also the discussion related to Figure 2 for additional information about various embodiments of image simulators. [0112] The present disclosure contemplates that any combination of parameters that result in the image can be offset, in any combination, and including other parameters that may not be listed herein but utilized in image generation models.
[0113] Some embodiments of the disclosed methods can include generating the image with an image simulator, the generating can include applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns. The offset can subsequently reduce a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset. The offset can also act to reduce a variation of other parameters characterizing the image such as line width roughness, critical dimensions (CDs), etc. The image parameter that is offset can include one or more of a focus, a dose, pitch, source, a metrology CD, or a mask CD, etc. The offsets can be set by a user or can be calculated to produce the most improvement, such as by varying any combination of offsets until the variation in determined failure rates is minimized.
[0114] Figure 12 shows one example of the reduced variation in predicted failure rates after applying offsets to focus, dose, pitch, and source to an aerial image generation model. The top panel 1210 depicts critical dimensions for a number of images where the model value is on the vertical axis and the measured value (e.g., from an actual wafer) is on the horizontal axis. As seen, the generally linear collection of CDs exhibits apparent offsets due to coming from different image types. The second panel 1220 depicts an exemplary modeled failure rate (vertical axis) against a measured failure rate (horizontal axis) also using images generated without such offsets. The third panel 1230 depicts the result of applying offsets as described above to reduce the variation of the CDs between the images. The bottom panel 1240 depicts more consistent failure rates by showing that applying the offsets reduces the variation of determined failure rates. In the depicted example, the R2 of the data shown is increased from 0.53 to 0.83.
[0115] Figure 13 is a block diagram of an example computer system CS, according to an embodiment.
[0116] Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processor) coupled with bus BS for processing information. Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO. Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor PRO. Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO. A storage device SD, such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions. [0117] Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device ID, including alphanumeric and other keys, is coupled to bus BS for communicating information and command selections to processor PRO. Another type of user input device is cursor control CC, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
[0118] According to one embodiment, portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM. Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD. Execution of the sequences of instructions contained in main memory MM causes processor PRO to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
[0119] The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor PRO for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device SD. Volatile media include dynamic memory, such as main memory MM. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge. Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the features described herein. Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal.
[0120] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS. Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions. The instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
[0121] Computer system CS may also include a communication interface CI coupled to bus BS. Communication interface CI provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN. For example, communication interface CI may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface CI may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface CI sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
[0122] Network link NDL typically provides data communication through one or more networks to other data devices. For example, network link NDL may provide a connection through local network LAN to a host computer HC. This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT. Local network LAN (Internet) both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network data link NDL and through communication interface CI, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
[0123] Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CI. In the Internet example, host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN and communication interface CI. One such downloaded application may provide all or part of a method described herein, for example. The received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other nonvolatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
[0124] Figure 14 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
[0125] The lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS.
[0126] Illumination system IL, can condition a beam B of radiation. In this particular case, the illumination system also comprises a radiation source SO. [0127] First object table (e.g., patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS.
[0128] Second object table (substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS.
[0129] Projection system (“lens”) PS (e.g., a refractive, catoptric or catadioptric optical system) can image an irradiated portion of the patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0130] As depicted herein, the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device). The apparatus may employ a different kind of patterning device to classic mask; examples include a programmable mirror array or LCD matrix.
[0131] The source SO (e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning apparatuses, such as a beam expander Ex, for example. The illuminator IL may comprise adjusting device AD for setting the outer and/or inner radial extent (commonly referred to as G-outcr and G-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
[0132] In some embodiments, source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).
[0133] The beam PB can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning apparatus (and interferometric measuring apparatus IF), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of beam PB. Similarly, the first positioning apparatus can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT can be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning).
However, in the case of a stepper (as opposed to a step-and-scan tool) patterning device table MT may just be connected to a short stroke actuator, or may be fixed. [0134] The depicted tool can be used in two different modes, step mode and scan mode. In step mode, patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single “flash”) onto a target portion C. Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam PB.
[0135] In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash.” Instead, patterning device table MT is movable in a given direction (the so-called “scan direction”, e.g., the y direction) with a speed v, so that projection beam B is caused to scan over a patterning device image; concurrently, substrate table WT is simultaneously moved in the same or opposite direction at a speed V = Mv, in which M is the magnification of the lens PL (typically, M = 1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution.
[0136] Figure 15 is a schematic diagram of another lithographic projection apparatus (LPA), according to an embodiment.
[0137] LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation), support structure MT, substrate table WT, and projection system PS.
[0138] Support structure (e.g., a patterning device table) MT can be constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
[0139] Substrate table (e.g., a wafer table) WT can be constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate.
[0140] Projection system (e.g., a reflective projection system) PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
[0141] As here depicted, LPA can be of a reflective type (e.g., employing a reflective patterning device). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Since most material is absorptive at EUV and x-ray wavelengths, a thin piece of patterned absorbing material on the patterning device topography (e.g., a TaN absorber on top of the multi-layer reflector) defines where features would print (positive resist) or not print (negative resist).
[0142] Illuminator IL can receive an extreme ultraviolet radiation beam from source collector module SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP") the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam. Source collector module SO may be part of an EUV radiation system including a laser for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation. [0143] In such cases, the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
[0144] Illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as o- outer and o-inncr, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
[0145] The radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g., an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of radiation beam B. Similarly, the first positioner PM and another position sensor PSI can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B. Patterning device (e.g., mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks Pl, P2.
[0146] The depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode.
[0147] In step mode, the support structure (e.g., patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
[0148] In scan mode, the support structure (e.g., patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure). The velocity and direction of substrate table WT relative to the support structure (e.g., patterning device table) MT may be determined by the (de- )magnification and image reversal characteristics of the projection system PS.
[0149] In stationary mode, the support structure (e.g., patterning device table) MT is kept essentially stationary holding a programmable patterning device, and substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array.
[0150] Figure 16 is a detailed view of the lithographic projection apparatus, according to an embodiment.
[0151] As shown, LPA can include the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure ES of the source collector module SO. An EUV radiation emitting hot plasma HP may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma HP is created to emit radiation in the EUV range of the electromagnetic spectrum. The hot plasma HP is created by, for example, an electrical discharge causing at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[0152] The radiation emitted by the hot plasma HP is passed from a source chamber SC into a collector chamber CC via an optional gas barrier or contaminant trap CT (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber SC. The contaminant trap CT may include a channel structure. Contamination trap CT may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier CT further indicated herein at least includes a channel structure, as known in the art.
[0153] The collector chamber CC may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side US and a downstream radiation collector side DS. Radiation that traverses radiation collector CO can be reflected off a grating spectral filter SF to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line ‘O’. The virtual source point IF can be referred to as the intermediate focus, and the source collector module can be arranged such that the intermediate focus IF is located at or near an opening OP in the enclosing structure ES. The virtual source point IF is an image of the radiation emitting plasma HP. [0154] Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device FM and a facetted pupil mirror device pm arranged to provide a desired angular distribution of the radiation beam B, at the patterning device MA, as well as a desired uniformity of radiation amplitude at the patterning device MA. Upon reflection of the beam of radiation B at the patterning device MA, held by the support structure MT, a patterned beam PB is formed and the patterned beam PB is imaged by the projection system PS via reflective elements RE onto a substrate W held by the substrate table WT.
[0155] More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter SF may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS.
[0156] Collector optic CO can be a nested collector with grazing incidence reflectors GR, just as an example of a collector (or collector mirror). The grazing incidence reflectors GR are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
[0157] Figure 17 is a detailed view of source collector module SO of lithographic projection apparatus LPA, according to an embodiment.
[0158] Source collector module SO may be part of an LPA radiation system. A laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma HP with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening OP in the enclosing structure ES.
[0159] The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies already in use include EUV (extreme ultraviolet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
[0160] Embodiments of the present disclosure can be further described by the following clauses.
1. A method of predicting a failure rate for a design layout, the method comprising: obtaining an image of the design layout associated with a lithography process; determining derivatives of the image intensity at select locations in the design layout; and determining the failure rate of the design layout based on the derivatives at the select locations.
2. The method of clause 1, wherein the image is an aerial image.
3. The method of clause 2, wherein the aerial image is a measured or simulated image.
4. The method of clause 1, wherein the image is a resist image.
5. The method of clause 1, further comprising optimizing a pupil, a mask design, design rule optimization, lithography project optics optimization, or process and throughput monitoring and control of a lithography process based on the predicted failure rate.
6. The method of clause 1, wherein the select locations include one or more bridging points in one or more lines or breaking points between one or more lines.
7. The method of clause 1, wherein the select locations include one or more of contact holes or saddle points corresponding to a point in a saddle-shaped area of the image that is between contact holes.
8. The method of clause 1, further comprising identifying the select locations based on the image and the derivatives that include first order derivatives or second order derivatives that characterize a contact hole or a saddle point.
9. The method of clause 1, further comprising identifying candidate select locations based on GDS polygons, wherein select locations for contact holes are centers of the GDS polygons for the contact holes and wherein select locations for saddle-shaped areas are midpoints between GDS polygons representing contact holes.
10. The method of clause 9, further comprising utilizing GDS information to exclude contact holes and saddle points from the select locations, the utilizing comprising: identifying an assist feature in the GDS polygons; and setting a distance threshold from a center of the assist feature, wherein the candidate select locations of saddle points within the distance threshold are excluded from the select locations.
11. The method of clause 10, further comprising setting a distance limit from the center of the assist feature, wherein the candidate select locations of the saddle points beyond the distance limit are excluded from the select locations.
12. The method of clause 9, wherein the midpoints are between orthogonal GDS polygons representing contact holes.
13. The method of clause 8, further comprising determining a second failure rate for a second design layout, the determining comprising reusing the select locations from the design layout as second fixed locations in the second design layout.
14. The method of clause 8, further comprising updating the image to generate an updated image based on one or more optimizations of the image by an image generation model, wherein the determination of failure rate for the updated image reuses the select locations. 15. The method of clause 1, further comprising determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout.
16. The method of clause 15, wherein the function is a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function.
17. The method of clause 15, wherein determining the failure rate includes integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate.
18. The method of clause 15, wherein the function is an exponential function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
19. The method of clause 15, wherein the function is a linear function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
20. The method of clause 1, wherein the failure rate is associated with line bridging or line breaking at a select location representing local extrema in a line or between lines, respectively, the method further comprising utilizing an intensity of the image and the derivatives at the select location.
21. The method of clause 1, wherein the failure rate is associated with contact hole closing at a select location representing a contact hole center, the method further comprising utilizing the derivatives to determine a peak curvature associated with a contact hole.
22. The method of clause 1, wherein the failure rate is associated with contact hole merging at a select location representing a saddle point, the method further comprising utilizing the derivatives to determine a saddle point curvature associated with the saddle point.
23. The method of clause 22, wherein the derivatives include a positive saddle point curvature at the saddle point.
24. The method of clause 22, wherein the derivatives include a negative saddle point curvature at the saddle point.
25. The method of clause 22, wherein the derivatives include both a positive saddle point curvature at the saddle point and a negative saddle point curvature at the saddle point.
26. The method of clause 1, wherein the derivatives include first order derivatives or gradients of the image that represent a contrast of the image.
27. The method of clause 1, wherein determining the failure rate is for multiple failure sites, the method further comprising determining powers and/or cross terms of the image intensity and the derivatives.
28. The method of clause 27, wherein the failure rate is based at least on squares of an intensity and a curvature of portions of the image.
29. The method of clause 1, further comprising: generating the image with an image simulator, the generating comprising applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns, the offset subsequently reducing a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset.
30. The method of clause 29, wherein the image parameter includes one or more of a focus, a dose, a metrology CD, or a mask CD. 31. A non-transitory computer readable medium having instructions recorded thereon for predicting a failure rate for a design layout, the instructions when executed by a computer having at least one programmable processor cause operations comprising, the operations as in any of clauses 1-30.
32. A system for predicting a failure rate for a design layout, the system comprising: at least one programmable processor; and a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer having the at least one programmable processor cause operations as in any of clauses 1-30.
[0161] While the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.
The combinations and sub-combinations of the elements disclosed herein constitute separate embodiments and are provided as examples only. Also, the descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made as described without departing from the scope of the claims set out below.

Claims

1. A method of predicting a failure rate for a design layout, the method comprising: obtaining an image associated with the design layout in a lithography process; determining derivatives of image intensity of the image at select locations in the design layout; and determining the failure rate of the design layout based on the derivatives at the select locations.
2. The method of claim 1, wherein the image is an aerial image or a resist image, and wherein the image is a measured or simulated image.
3. The method of claim 1, further comprising optimizing a pupil, a mask design, design rule,, lithography project optics configuration, or process and throughput monitoring and control of a lithography process based on the predicted failure rate.
4. The method of claim 1, wherein the select locations include one or more bridging points in one or more lines or breaking points between one or more lines, or one or more of contact holes or saddle points corresponding to a point in a saddle-shaped area of the image that is between contact holes.
5. The method of claim 4, further comprising identifying candidate select locations based on GDS polygons, wherein select locations for contact holes are centers of the GDS polygons for the contact holes and wherein select locations for saddle-shaped areas are midpoints between GDS polygons representing contact holes,
6. The method of claim 5, further comprising utilizing GDS information to exclude contact holes and saddle points from the select locations, wherein the utilizing comprises identifying an assist feature in the GDS polygons; and setting a distance threshold from a center of the assist feature, wherein the candidate select locations of saddle points within the distance threshold are excluded from the select locations.
7. The method of claim 1, further comprising determining a second failure rate for a second design layout, the determining comprising reusing the select locations from the design layout as second fixed locations in the second design layout.
8. The method of claim 1, further comprising updating the image to generate an updated image based on one or more optimizations of the image by an image generation model, wherein the determination of failure rate for the updated image reuses the select locations.
9. The method of claim 8, further comprising determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout, wherein the function is a Gaussian probability distribution function that allows the failure rate to be expressed as a complimentary error function,
10. The method of claim 1, wherein determining the failure rate includes integrating over a first portion of the function to determine a contact hole closing failure rate or integrating over the second portion of the function to determine a contact hole merging failure rate.
11. The method of claim 1, further comprising determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout, wherein the function is an exponential function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
12. The method of claim 1, further comprising determining the failure rate based on a function that approximates the distribution of intensities over at least a portion of the design layout, wherein the function is a linear function that approximates a portion of the cumulative distribution function of a Gaussian probability distribution function.
13. The method of claim 1, wherein the failure rate is associated with contact hole closing at a select location representing a contact hole center, the method further comprising utilizing the derivatives to determine a peak curvature associated with a contact hole.
14. The method of claim 1, wherein the failure rate is associated with contact hole merging at a select location representing a saddle point, the method further comprising utilizing the derivatives to determine a saddle point curvature associated with the saddle point, and wherein the derivatives include a positive saddle point curvature at the saddle point, and/or a negative saddle point curvature at the saddle point.
15. The method of claim 1, further comprising: generating the image with an image simulator, the generating comprising applying an offset to an image parameter utilized by the image simulator, the offset improving a match between the image generated by the image simulator and experimentally measured parameters for a plurality of printed patterns, the offset subsequently reducing a variation of failure rates as determined by a failure rate model that utilizes the image simulator and the offset.
PCT/EP2023/054117 2022-03-09 2023-02-17 Methods, systems, and software for determination of failure rates of lithographic processes WO2023169806A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263318087P 2022-03-09 2022-03-09
US63/318,087 2022-03-09

Publications (1)

Publication Number Publication Date
WO2023169806A1 true WO2023169806A1 (en) 2023-09-14

Family

ID=85328542

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2023/054117 WO2023169806A1 (en) 2022-03-09 2023-02-17 Methods, systems, and software for determination of failure rates of lithographic processes

Country Status (2)

Country Link
TW (1) TW202401161A (en)
WO (1) WO2023169806A1 (en)

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20100293413A1 (en) * 2007-05-23 2010-11-18 Nxp B.V. Process-window aware detection and correction of lithographic printing issues at mask level
US20120167020A1 (en) * 2009-01-22 2012-06-28 Shady Abd El Wahed Pre-OPC Layout Editing For Improved Image Fidelity
US10545411B2 (en) 2014-02-11 2020-01-28 Asml Netherlands, B.V. Model for calculating a stochastic variation in an arbitrary pattern
US20210382393A1 (en) * 2018-11-08 2021-12-09 Asml Netherlands B.V. Failure model for predicting failure due to resist layer

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20100293413A1 (en) * 2007-05-23 2010-11-18 Nxp B.V. Process-window aware detection and correction of lithographic printing issues at mask level
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20120167020A1 (en) * 2009-01-22 2012-06-28 Shady Abd El Wahed Pre-OPC Layout Editing For Improved Image Fidelity
US10545411B2 (en) 2014-02-11 2020-01-28 Asml Netherlands, B.V. Model for calculating a stochastic variation in an arbitrary pattern
US20210382393A1 (en) * 2018-11-08 2021-12-09 Asml Netherlands B.V. Failure model for predicting failure due to resist layer

Also Published As

Publication number Publication date
TW202401161A (en) 2024-01-01

Similar Documents

Publication Publication Date Title
WO2021037484A1 (en) Semiconductor device geometry method and system
CN113454532A (en) Method of training a machine learning model to determine optical proximity correction of a mask
KR102444680B1 (en) Binarization method and preform mask optimization flow
TW201539226A (en) Flows of optimization for lithographic processes
KR102585137B1 (en) Methods for generating feature patterns and training machine learning models
US20230107556A1 (en) Machine learning based subresolution assist feature placement
US10359704B2 (en) Lithography model for three-dimensional patterning device
TW202401137A (en) Non-transitory computer-readable medium for determining mask pattern and training machine learning model
US11422473B2 (en) Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
US20210349404A1 (en) Method to create the ideal source spectra with source and mask optimization
US20230267711A1 (en) Apparatus and method for selecting informative patterns for training machine learning models
US11966167B2 (en) Systems and methods for reducing resist model prediction errors
WO2022268434A1 (en) Etch simulation model including a correlation between etch biases and curvatures of contours
KR102642972B1 (en) Improved gauge selection for model calibration
WO2023169806A1 (en) Methods, systems, and software for determination of failure rates of lithographic processes
TWI839015B (en) Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110346A1 (en) Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2024094385A1 (en) Source optimization for mitigating mask error impact
WO2024041831A1 (en) Modelling of multi-level etch processes
WO2024017807A1 (en) Systems and methods for optimizing metrology marks
WO2024110141A1 (en) Curvilinear polygon recovery for opc mask design
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
WO2023084063A1 (en) Generating augmented data to train machine learning models to preserve physical trends
WO2023222368A1 (en) Diffraction-based pupil determination for optimization of lithographic processes
WO2023088649A1 (en) Determining an etch effect based on an etch bias direction

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23706719

Country of ref document: EP

Kind code of ref document: A1