WO2021140020A2 - High brightness low energy spread pulsed electron source - Google Patents

High brightness low energy spread pulsed electron source Download PDF

Info

Publication number
WO2021140020A2
WO2021140020A2 PCT/EP2020/087432 EP2020087432W WO2021140020A2 WO 2021140020 A2 WO2021140020 A2 WO 2021140020A2 EP 2020087432 W EP2020087432 W EP 2020087432W WO 2021140020 A2 WO2021140020 A2 WO 2021140020A2
Authority
WO
WIPO (PCT)
Prior art keywords
electron
inspection
electrons
combined
energy
Prior art date
Application number
PCT/EP2020/087432
Other languages
French (fr)
Inventor
Pieter Willem Herman De Jager
Jasper Frans Mathijs VAN RENS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20150403.2A external-priority patent/EP3848953A1/en
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2021140020A2 publication Critical patent/WO2021140020A2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06308Thermionic sources
    • H01J2237/06316Schottky emission
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06325Cold-cathode sources
    • H01J2237/06341Field emission
    • H01J2237/0635Multiple source, e.g. comb or array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the description herein relates generally to a high brightness low energy spread pulsed electron source.
  • a lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device e.g., a mask
  • a substrate e.g., silicon wafer
  • a layer of radiation-sensitive material resist
  • a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one operation.
  • Such an apparatus is commonly referred to as a stepper.
  • a projection beam scans over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
  • the substrate Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • post-exposure procedures such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish the individual layer of the device.
  • the whole procedure, or a variant thereof, is repeated for each layer.
  • a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, such that the individual devices can be mounted on a carrier, connected to pins, etc.
  • Manufacturing devices such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a patterning step such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • Lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro electro mechanical systems (MEMS) and other devices.
  • MEMS micro electro mechanical systems
  • OPC optical proximity correction
  • RET resolution enhancement techniques
  • the 1 -dimensional gauge patterns include line-space patterns with a varying pitch and critical dimension (CD), isolated lines, multiple lines, etc.
  • the 2-dimensional gauge patterns typically include line-ends, contacts, and randomly selected SRAM (Static Random Access Memory) patterns.
  • the principles described herein may be extended to other applications beyond semiconductor imaging.
  • the principles described here may be extended to other charged particles, beyond just electrons.
  • a high brightness electron source system comprising a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and a first radiofrequency (RF) or microwave structure configured to combine the plurality of pulsed constituent electron beams into a combined electron beam aligned along a single optical axis.
  • the combined electron beam has a greater brightness than each of the individual pulsed constituent electron beams.
  • the first RF or microwave structure comprises a deflection cavity.
  • the system further comprises a combination of electron-optical elements configured to reduce the energy spread of the electron beam.
  • the combination of electron-optical elements comprises second and third RF or microwave structures, separated by a drift space in between.
  • the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse.
  • the drift space is configured to temporally stretch the pulse.
  • the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse. Signals used to drive the first, second, and third RF or microwave structures are synchronized to each other.
  • the signals used to drive the first, second, and or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
  • the second and third RF or microwave structures comprise resonant cavities.
  • the combination of electron-optical elements comprises a curved path configured to disperse the combined electron beam by energy level such that electrons in the combined electron beam having higher energy follow a relatively shorter path, and electrons in the combined electron beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron beam such that the electrons in the combined electron beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the curved path is a chicane.
  • the chicane comprises a plurality of magnetic deflectors.
  • the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron beam.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron beam is formed by a combination of the out of phase pulses of electrons.
  • the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
  • an inspection system configured to enhance inspection throughput, wherein the system comprises the high brightness low energy spread pulsed electron source system described above.
  • a method for enhancing inspection throughput comprising: generating a plurality of pulsed constituent electron beams; [0026] combining the plurality of pulsed constituent electron beams into a combined electron inspection beam with a deflection cavity, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; dispersing the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy lead electrons in the combined electron inspection beam having lower energy; and reducing an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured for inspecting a substrate.
  • the inspection throughput is a function of the brightness of the combined electron inspection beam, and wherein the greater brightness of the combined electron inspection beam enhances the inspection throughput.
  • the combined electron inspection beam is aligned along a single optical axis.
  • generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • a method for reducing the energy spread of a pulsed electron beam by increasing an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; temporally stretching the pulse using a drift space; and monochromating the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
  • a method for enhancing inspection throughput comprises generating a plurality of pulsed constituent electron beams and combining the plurality of pulsed constituent electron beams into a combined electron inspection beam.
  • the combined electron inspection beam has a greater brightness than each of the individual constituent pulsed electron beams.
  • the combined electron inspection beam is configured for inspecting a substrate.
  • the inspection throughput is a function of the brightness of the combined electron inspection beam.
  • the greater brightness of the combined electron inspection beam enhances the inspection throughput.
  • the combined electron inspection beam is aligned along a single optical axis.
  • the inspection throughput is associated with a semiconductor manufacturing process
  • the substrate is associated with a semiconductor device.
  • pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
  • generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • the plurality of pulsed constituent electron beams is combined into the combined electron inspection beam with a deflecting cavity.
  • generating the plurality of pulsed constituent electron beams comprises emitting the plurality of pulsed constituent electron beams by a corresponding plurality of Schottky emitters or cold field emitters.
  • Schottky emitters are the most useful electron sources for semiconductor inspection. For other applications it is possible that, for example, thermal emitters are preferred as a starting point as they can deliver more current at lower brightness.
  • the method further comprises accelerating the combined electron inspection beam to reduce effects of coulomb interactions.
  • the method further comprises: dispersing electrons in the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path through a chicane, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path through the chicane; and accelerating the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the inspection throughput is an inverse function of the energy spread, and reducing the energy spread further enhances the inspection throughput.
  • dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors.
  • the chicane comprises four magnetic deflectors.
  • the accelerating is performed by an acceleration cavity.
  • dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches.
  • the inspection throughput is associated with bright field inspection or multi-beam inspection.
  • inspection throughput is associated with a scanning electron microscope.
  • the method further comprises: generating the combined electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron inspection beam with a multipole corrector.
  • the inspection throughput is a function of correcting the spherical aberration, such that correcting the spherical aberration further enhances the inspection throughput.
  • the plurality of pulsed constituent electron beams comprises at least 10 pulsed constituent electron beams.
  • a method for enhancing inspection throughput comprises passing an electron inspection beam through a curved path; dispersing the electron inspection beam by energy level as the electron inspection beam traverses the cured path such that electrons in the electron inspection beam having higher energy follow a relatively shorter path, and electrons in the electron inspection beam having lower energy follow a relatively longer path; and accelerating the electron inspection beam such that the electrons in the electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the accelerated electron inspection beam is configured for inspecting a substrate.
  • the curved path comprises a chicane.
  • a method for enhancing inspection throughput comprises generating an electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the electron inspection beam with a multipole corrector.
  • the corrected electron inspection beam is configured for inspecting a substrate.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon. The instructions, when executed by a computer, implement any or all of the operations described above.
  • an inspection system configured to enhance inspection throughput.
  • the system comprises a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam.
  • the combined electron inspection beam has a greater brightness than each of the individual pulsed constituent electron beams.
  • the combined electron inspection beam is configured to be used for inspecting a substrate.
  • the electron optical arrangement comprises a deflecting cavity.
  • the system further comprises a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the curved path is a chicane.
  • the chicane comprises a plurality of magnetic deflectors.
  • the accelerator comprises an accelerating cavity.
  • the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
  • the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
  • the inspection system is a scanning electron microscope.
  • an inspection system configured to enhance inspection throughput.
  • the system comprises a curved path configured to disperse a combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path.
  • the system comprises an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the combined electron inspection beam is configured to be used for inspecting a substrate.
  • the curved path is a chicane.
  • the chicane comprises a plurality of magnetic deflectors.
  • a scanning electron microscope inspection system configured to enhance inspection throughput.
  • the system comprises a multipole corrector configured to correct a spherical aberration associated with a combined electron inspection beam.
  • the combined electron inspection beam is configured to be used for inspecting a substrate.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • a high brightness electron source system comprising a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam.
  • the combined electron inspection beam has a greater brightness than each of the individual pulsed constituent electron beams.
  • the electron optical arrangement comprises a deflecting cavity.
  • the system further comprises a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the curved path is a chicane.
  • the chicane comprises a plurality of magnetic deflectors.
  • the accelerator comprises an accelerating cavity.
  • the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
  • the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
  • Figure 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus, according to an embodiment.
  • Figure 2 schematically depicts an embodiment of an electron beam inspection apparatus, according to an embodiment.
  • Figure 3 schematically illustrates another embodiment of an inspection apparatus, according to an embodiment.
  • Figure 4 illustrates an example method for enhancing inspection throughput, according to an embodiment.
  • Figure 5 illustrates an example of a Schottky emitter, according to an embodiment. It should be noted that when a buncher cavity is used (described herein), a continuous emission Schottky emitter can be used, for example.
  • Figure 6 illustrates an example of buncher cavities, according to an embodiment.
  • Figure 7 illustrates combining pulsed constituent electron beams into a combined electron inspection beam, according to an embodiment.
  • Figure 8 illustrates dispersing portions of the combined electron inspection beam by energy level, according to an embodiment.
  • Figure 9 illustrates an example of a multipole corrector, according to an embodiment.
  • Figure 10 illustrates performing an inspection with the combined electron inspection beam, according to an embodiment.
  • Figure 11 illustrates a longitudinal beam expander using microwave cavities, according to an embodiment.
  • Figure 12 is a block diagram of an example computer system, according to an embodiment.
  • Figure 13 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • Figure 14 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
  • Figure 15 is a detailed view of a lithographic projection apparatus, according to an embodiment.
  • Figure 16 is a detailed view of the source collector module of a lithographic projection apparatus, according to an embodiment.
  • Brightfield defect inspection as part of a semiconductor and/or integrated circuit manufacturing process is often performed with (beams of) light.
  • light based inspection is a relatively fast process, and light based inspection throughput does not usually limit overall manufacturing output.
  • it is more and more difficult to find ever smaller defects in manufactured semiconductor and or other integrated circuit devices with light based inspection techniques. This is because the sizes of defects that can be detected with light based techniques are limited by the wavelengths of the light used during inspection.
  • Electron beam inspection techniques can be used as an alternative to light based inspection techniques.
  • the inspection resolution of an electron beam is higher than that of a light beam because there is no wavelength associated with an electron beam (the effective wavelength of an electron beam is smaller than from a light beam) and electrons in the electron beam are small compared to the features of a semiconductor device and/or other integrated circuits.
  • defects not detectable with light based techniques may be found with electron beam inspection techniques.
  • past electron beam inspection techniques are slow compared to their light based counterparts. Slow throughput times often prevent use of prior electron beam inspection techniques.
  • the present electron beam based inspection systems and methods are configured such that more beam current (relative to prior electron beam based systems) is delivered to a substrate, while maintaining the increased resolution of an electron beam based inspection system (relative to light based systems). This, in turn, enhances inspection throughput.
  • the present systems and methods are also configured to correct and/or otherwise decrease an energy spread in an electron inspection beam, and correct spherical aberration in the electron inspection beam. These operations also enhance inspection throughput.
  • the present systems and methods provide higher inspection throughput compared to prior electron beam based inspection systems, while also providing increased resolution compared to light based inspection.
  • An energy-filtering monochromator can reduce the energy spread of an electron beam (and therefore increase the resolution of a microscope) by spatially separating electrons of different energies using a dispersive element and selecting only a small monochromatic fraction of the beam using a small slit, but at the expense of current.
  • an energy -selecting monochromator throws away 90% to 99% of the current, which is unacceptable when throughput is important and at other times.
  • the present systems and methods include two phase-locked time-dependent electromagnetic fields configured to decrease the energy spread of a pulsed electron beam, without sacrificing current.
  • the present systems and methods utilize a chicane and an accelerator, as described below. These examples are not intended to be limiting.
  • Embodiments described as being implemented in software should not be limited thereto, but can include embodiments implemented in hardware, or combinations of software and hardware, and vice-versa, as will be apparent to those skilled in the art, unless otherwise specified herein.
  • an embodiment showing a singular component should not be considered limiting; rather, the disclosure is intended to encompass other embodiments including a plurality of the same component, and vice-versa, unless explicitly stated otherwise herein.
  • the present disclosure encompasses present and future known equivalents to the known components referred to herein by way of illustration.
  • UV radiation e.g. with a wavelength of 365, 248, 193
  • EUV extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm.
  • projection optics should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures and catadioptric optics, for example.
  • the term “projection optics” may also include components operating according to any of these design types for directing, shaping, or controlling the projection beam of radiation, collectively or singularly.
  • the term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus.
  • Projection optics may include optical components for shaping, adjusting and or projecting radiation from the source before the radiation passes the (e.g., semiconductor) patterning device, and or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device.
  • the projection optics generally exclude the source and the patterning device.
  • the (e.g., semiconductor) patterning device can comprise, or can form, one or more design layouts.
  • the design layout can be generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices.
  • design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the devices or lines do not interact with one another in an undesirable way.
  • the design rules may include and or specify specific parameters, limits on and or ranges for parameters, and or other information.
  • One or more of the design rule limitations and or parameters may be referred to as a “critical dimension” (CD).
  • a critical dimension of a device can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes, or other features.
  • the CD determines the overall size and density of the designed device.
  • One of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
  • mask or “patterning device” as employed in this text may be broadly interpreted as referring to a generic semiconductor patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context.
  • the classic mask transmissive or reflective; binary, phase-shifting, hybrid, etc.
  • examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
  • An example of a programmable mirror array can be a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • the basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation.
  • the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface.
  • the required matrix addressing can be performed using suitable electronic means.
  • An example of a programmable LCD array is given in U.S. Patent No. 5,229,872, which is incorporated herein by reference.
  • patterning process generally means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process.
  • patterning process can also include plasma etching, as many of the features described herein can provide benefits to forming printed patterns using plasma processing.
  • target pattern means an idealized pattern that is to be etched on a substrate.
  • the term “printed pattern” means the physical pattern on a substrate that was etched based on a target pattern.
  • the printed pattern can include, for example, troughs, channels, depressions, edges, or other two and three dimensional features resulting from a lithography process.
  • the term “prediction model” and/or “process model” means a model that includes one or more models that simulate a patterning process.
  • a prediction and/or process model can include an optical model (e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist), a resist model (e.g., that models physical effects of the resist, such as chemical effects due to the light), and an OPC model (e.g., that can be used to make target patterns and may include sub-resolution resist features (SRAFs), etc.), and/or other models.
  • an optical model e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist
  • a resist model e.g., that models physical effects of the resist, such as chemical effects due to the light
  • OPC model e.g., that can be used to make target patterns and may include sub-resolution resist features (SRAFs), etc.
  • the term “calibrating” means to modify (e.g., improve or tune) and/or validate something, such as the process model.
  • Figure 1 illustrates a diagram of various subsystems of an example lithographic projection apparatus 10A.
  • Major components are a radiation source 12A, which may be a deep-ultraviolet excimer laser source or other type of source including an extreme ultra violet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which, for example, define the partial coherence (denoted as sigma) and which may include optics 14 A, 16Aa and 16Ab that shape radiation from the source 12 A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A.
  • EUV extreme ultra violet
  • a source provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate.
  • the projection optics may include at least some of the components 14A, 16Aa,
  • An aerial image is the radiation intensity distribution at substrate level.
  • a resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety.
  • the resist model is related to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake (PEB) and development).
  • Optical properties of the lithographic projection apparatus e.g., properties of the illumination, the patterning device, and the projection optics dictate the aerial image and can be defined in an optical model.
  • One or more tools used in computationally controlling, designing, etc. one or more aspects of the patterning process, such as the pattern design for a patterning device (including, for example, adding sub-resolution assist features or optical proximity corrections), the illumination for the patterning device, etc., may be provided. Accordingly, in a system for computationally controlling, designing, etc. a manufacturing process involving patterning, the manufacturing system components and/or processes can be described by various functional modules and or models.
  • one or more electronic (e.g., mathematical, parameterized, etc.) models may be provided that describe one or more steps and/or apparatuses of the patterning process.
  • a simulation of the patterning process can be performed using one or more electronic models to simulate how the patterning process forms a patterned substrate using a design pattern provided by a patterning device.
  • FIG. 2 schematically depicts a generalized embodiment of an electron beam inspection apparatus 50.
  • the inspection apparatus may be an electron beam inspection apparatus (e.g., the same as or similar to a scanning electron microscope (SEM)) that yields an image of a structure (e.g., some or all the structure of a device, such as an integrated circuit) exposed or transferred on a substrate.
  • SEM scanning electron microscope
  • a primary electron beam 52 emitted from an electron source 54 is converged by condenser lens 56 and then passes through a beam deflector 58, an E x B deflector 60, and an objective lens 62 to irradiate a substrate 70 on a substrate table ST at a focus.
  • a two-dimensional electron beam image can be obtained by detecting the electrons generated from the sample in synchronization with, e.g., two dimensional scanning of the electron beam by beam deflector 58 or with repetitive scanning of electron beam 52 by beam deflector 58 in an X or Y direction, together with continuous movement of the substrate 70 by the substrate table ST in the other of the X or Y direction.
  • the electron beam inspection apparatus has a field of view for the electron beam defined by the angular range into which the electron beam can be provided by the electron beam inspection apparatus (e.g., the angular range through which the deflector 60 can provide the electron beam 52).
  • the spatial extent of the field of the view is the spatial extent to which the angular range of the electron beam can impinge on a surface (wherein the surface can be stationary or can move with respect to the field).
  • a signal detected by secondary electron detector 72 may be converted to a digital signal by an analog/digital (A/D) converter 74, and the digital signal may be sent to an image processing system 76.
  • the image processing system 76 may have memory 78 to store all or part of digital images for processing by a processing unit 80.
  • the processing unit 80 e.g., specially designed hardware or a combination of hardware and software or a computer readable medium comprising software
  • the processing unit 80 is configured to convert or process the digital images into datasets representative of the digital images.
  • the processing unit 80 is configured or programmed to cause execution of an operation (e.g., SEM inspection) described herein.
  • FIG. 3 schematically illustrates another embodiment of an inspection apparatus.
  • the system is used to inspect a sample 90 (such as a substrate) on a sample stage 89 and comprises a charged particle beam generator 81, a condenser lens module 99, a probe forming objective lens module 83, a charged particle beam deflection module 88, a secondary charged particle detector module 85, an image forming module 86, and/or other components.
  • the charged particle beam generator 81 generates a primary charged particle beam 91.
  • the condenser lens module 99 condenses the generated primary charged particle beam 91.
  • the probe forming objective lens module 83 focuses the condensed primary charged particle beam into a charged particle beam probe 92.
  • the charged particle beam deflection module 88 scans the formed charged particle beam probe 92 across the surface of an area of interest on the sample 90 secured on the sample stage 89.
  • the charged particle beam generator 81, the condenser lens module 83, and the probe forming objective lens module 83, or their equivalent designs, alternatives or any combination thereof, together form a charged particle beam probe generator which generates the scanning charged particle beam probe 92.
  • the secondary charged particle detector module 85 detects secondary charged particles 93 emitted from the sample surface (maybe also along with other reflected or scattered charged particles from the sample surface) upon being bombarded by the charged particle beam probe 92 to generate a secondary charged particle detection signal 94.
  • the image forming module 86 e.g., a computing device
  • the image forming module 86 is coupled with the secondary charged particle detector module 85 to receive the secondary charged particle detection signal 94 from the secondary charged particle detector module 85 and accordingly form at least one scanned image.
  • the secondary charged particle detector module 85 and image forming module 86, or their equivalent designs, alternatives or any combination thereof, together form an image forming apparatus which forms a scanned image from detected secondary charged particles emitted from sample 90 being bombarded by the charged particle beam probe 92.
  • a monitoring module 87 is coupled to the image forming module 86 of the image forming apparatus to monitor, control, etc. the patterning process and or derive a parameter for patterning process design, control, monitoring, etc. using the scanned image of the sample 90 received from image forming module 86.
  • the monitoring module 87 is configured or programmed to cause execution of an operation described herein.
  • the monitoring module 87 comprises a computing device.
  • the monitoring module 87 comprises a computer program configured to provide functionality described herein.
  • a probe spot size of the electron beam in the system of Figure 3 is significantly larger compared to, e.g., a CD, such that the probe spot is large enough so that the inspection speed can be fast.
  • the resolution may be lower because of the large probe spot.
  • Images, from, e.g., the system of Figure 2 and or Fig. 3, may be processed to extract dimensions, shapes, contours, and or other information that describe the edges of objects, representing semiconductor device structures, in the image.
  • the shapes, contours, and/or other information may be quantified via metrics, such as CD, at user-defined cut-lines and or in other locations.
  • the images of device structures are compared and quantified via metrics, such as an edge-to-edge distance (CD) measured on extracted contours or simple pixel differences between images.
  • metrics can include EP gauges and/or other parameters.
  • the present electron beam based inspection systems and methods are configured such that more beam current (relative to prior electron beam based systems) is delivered to a substrate, while maintaining the increased resolution of an electron beam based inspection system (relative to light based systems). This in turn, enhances throughput.
  • the present systems and methods are also configured to correct and/or otherwise decrease an energy spread in an electron inspection beam, and correct spherical aberration in the electron inspection beam. These operations also enhance throughput.
  • the present systems and methods provide higher inspection throughput compared to prior electron beam based inspection systems, while also providing increased resolution compared to light based inspection.
  • Figure 4 illustrates an example method 400 according to one or more embodiments.
  • Method 400 may be a method for enhancing inspection throughput.
  • the inspection throughput is associated with bright field inspection or multi-beam inspection, for example.
  • the inspection throughput is associated with a scanning electron microscope, the system of Figure 2 and or Figure 3, and/or other systems.
  • one or more operations of method 400 described below may be performed in or by one or more components of a scanning electron microscope.
  • the scanning electron microscope may form an inspection system such as the ones described in Figures 2 and or 3 and or other inspection systems, for example.
  • the operations of method 400 are performed for a semiconductor manufacturing process, for example.
  • the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates.
  • the one or more substrates may be associated with a semiconductor device, and/or other integrated circuits, for example.
  • method 400 may include generating 402 pulsed constituent electron beams, combining 403 the pulsed constituent electron beams into a combined beam, dispersing 404 portions of the combined beam by energy level, accelerating 406 the combined beam, correcting 408 a spherical aberration of the combined beam, performing 410 inspection with the combined beam, and/or other operations. These operations are described in more detail below.
  • method 400 may be accomplished with one or more additional operations not described, and or without one or more of the operations discussed. Additionally, the order in which the operations of method 400 are illustrated in FIG. 4 and described below is not intended to be limiting.
  • one or more portions of method 400 may be implemented (e.g., by simulation, modeling, electronically controlling one or more components of a scanning electron microscope, etc.) in one or more processing devices.
  • the one or more processing devices may include one or more devices executing some or all of the operations of method 400 in response to instructions stored electronically on an electronic storage medium.
  • the one or more processing devices may include one or more devices configured through hardware, firmware, and/or software to be specifically designed for execution of one or more of the operations of method 400, for example.
  • Throughput associated with an electron beam inspection system is limited by the system electron inspection beam current, which is the product of the electron current in an individual beamlet and the number of beamlets (combined to form the electron inspection beam) in the system. Some systems are limited in the number of beamlets they can provide, so throughput cannot be enhanced by simply adding more beamlets. Such systems may be limited in this way because a field size at a substrate (undergoing inspection) is limited due to field aberrations that can only be compensated for to a certain extent by an aperture plate. The beamlets at the aperture plate have to be separated to allow for space for the field aberration correcting elements in the aperture plate, for example.
  • the current in a beamlet is determined by an electron source brightness, beam aberrations, a coulomb interaction, and other factors according to the following equation:
  • I current in an individual beamlet
  • B r is the source brightness
  • C s is an spherical aberration coefficient
  • C c is an aberration coefficient associated with chromatic aberration
  • V is beam energy
  • a is the opening angle of the beam at the substrate
  • d spot is the diameter of the beamlet
  • AV is the beamlet’ s energy spread.
  • variable d spot is associated with the application, i.e., for inspection defects of size x, one needs an optical resolution y where the ratio x/y is constant. V is limited as higher energy results in more damaging impact on the substrate. C c can be corrected much the same as C s (as described herein) and this is an alternative to reducing AV.
  • the operations of method 400 described below are configured to increase a brightness of the electron inspection beam, decrease an energy spread in the electron inspection beam, correct a spherical aberration associated with the electron inspection beam, and/or have other effects which increase the system beam current (and thus enhance throughput).
  • Brightness (e.g., B r in the equation above) may be enhanced by adding multiple sources together to create a new source with the same emittance (area times solid angle of emission), while the total current is the sum of all sources.
  • operation 402, 403, and/or other operations may facilitate enhancing source brightness.
  • Method 400 includes generating 402 a plurality of pulsed constituent electron beams.
  • the plurality of pulsed constituent electron beams comprises at least 2, 5, 10, or more pulsed constituent electron beams.
  • pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other.
  • generating the plurality of pulsed constituent electron beams comprises emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • the plurality of pulsed constituent electron beams may be generated by a corresponding number of emitters, for example.
  • the emitters may be included in and or associated with a scanning electron microscope, for example, and or other systems.
  • generating the plurality of pulsed constituent electron beams comprises emitting the plurality of pulsed constituent electron beams by a corresponding plurality of Schottky emitters or cold field emitters, and then causing energy spread in individual beams of the plurality of continuous beams (e.g., with buncher cavities and/or other components) to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • FIG. 5 illustrates an example of a Schottky emitter 500.
  • a Schottky emitter may be advantageous because it is associated with relatively high brightness, and relative stability, compared to other emitters.
  • Emitter 500 includes an electron source needle 502, a suppressor 504, an extractor 506, and a focus anode 508.
  • energy 510 e.g., a laser
  • Suppressor 504 is configured to concentrate the field strength on the tip of the needle.
  • Extractor 506 is configured to pull away emitted electrons from the tip of the needle.
  • Focus anode 508 is configured to focus the extracted electrons.
  • Figure 5 illustrates a pulsed Schottky emitter. It should be noted that when a buncher cavity is used, a continuous emission Schottky emitter can be used, for example. The present disclosure is intended to cover both embodiments. Also, in some embodiments, Schottky emitters are the most useful electron sources for semiconductor inspection. For other applications it is possible that, for example, thermal emitters are preferred as a starting point as they can deliver more current at lower brightness.
  • Figure 6 illustrates an example of buncher cavities 600.
  • Buncher cavities 600 are configured to cause energy spread in an individual electron beam (e.g., the beam of electrons shown in Figure 5) to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • Buncher cavities 600 may include an electron source 602 (e.g., which may be similar to and/or the same as emitter 500 shown in Figure 5), an accelerator 604, a first resonant cavity 606 (having an input 607) that forms a buncher 608, a second resonant cavity 610 (having an output 611) that forms a catcher 612, and a collector 614.
  • an electron source 602 e.g., which may be similar to and/or the same as emitter 500 shown in Figure 5
  • an accelerator 604 e.g., a first resonant cavity 606 (having an input 607) that forms a buncher 608, a second resonant cavity 610 (
  • Accelerator 604 may be configured to accelerate the electrons in the beam of electrons to reduce the effects of coulomb interactions, for example.
  • Coulomb interaction is the effect that electrons repel each other as they are all negatively charged. The result is blurring of the spot: it enlarges the probe size much the same as aberrations do. The effect is more severe when the electron density is higher (I) or when they travel slower (V).
  • Collector 614 may be an anode plate for example, and or be formed by other components. In some embodiments, the anode may have a hole in the center such that electrons can pass on their way to a substrate. In some embodiments, there may be a feedback path 620 between cavity 610 and cavity 606.
  • buncher 608 is configured to generate groups 650 of bunched (or pulsed) electrons.
  • speed variation in individual electrons may be introduce by time varying acceleration in buncher cavities 600. After a drift space the electrons are grouped in bunches. Potentially stray electrons may be blocked by second cavity 610.
  • the cavity is a “box” in which a radio frequent electrical field is generated. The orientation of the field is such that it alternates in the same direction the stream of electrons is passing the “box”. Those electrons that are in the box at the moment that the field strength is maximally pointing forward, will get extra energy so they will travel faster than the average. Those electrons that are in the box at the moment that the field strength is maximally pointing backward, will get lower energy so they will travel slower than the average. Speed variation between the electrons will result in bunching.
  • a plurality of Schottky emitters e.g., 500 shown in Figure 5 and or corresponding buncher cavities (e.g., 600 shown in Figure 6) are used to generate a plurality of separate pulsed constituent electron beams.
  • the plurality of pulsed constituent electron beams comprises at least 2, 5, 10, or more pulsed constituent electron beams. This means that there may be 2, 5, 10 or more sets of Schottky emitters and or buncher cavities, for example.
  • the separate pulsed constituent electron beams may have frequencies of about 130MHz, for example. Bunches having a duration of about lOpc can be created with about a 7.7ns spacing at this frequency.
  • the Schottky emitter and/or buncher cavities are configured such that the pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other.
  • the pulses of electrons in the different ones of the plurality of pulsed constituent electron beams have a timing that does not match the timing of other pulses of electrons in other beams. This is realized by proper phasing of the RF field in the buncher cavities associated to the various beams.
  • buncher cavities 600 may not be necessary. Instead, for example, the energy (e.g., the laser) directed at the tip (e.g., 512) of the electron source needle (e.g., 502) shown in Figure 5 may be pulsed to create a pulsed flow of electrons in an electron beam from a given source.
  • the energy e.g., the laser
  • the tip e.g., 512
  • the electron source needle e.g., 502
  • method 400 includes combining 403 the pulsed constituent electron beams into a combined electron inspection beam.
  • the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
  • the combined electron inspection beam has a greater brightness than each of the individual constituent pulsed electron beams.
  • a (e.g., first) radiofrequency (RF) or microwave structure such as a deflection cavity, is configured to combine the plurality of pulsed constituent electron beams into a combined electron beam.
  • the inspection throughput is a function of the brightness of the combined electron inspection beam.
  • the greater brightness of the combined electron inspection beam enhances the inspection throughput. For example, since B r is positive and appears on the right hand side of the equation shown above, any increase in brightness produces a corresponding increase in current (I).
  • the combined electron inspection beam may be configured for inspecting a substrate and/or other objects.
  • the combined electron inspection beam may be a scanning electron microscope inspection beam.
  • the substrate may be part of a semiconductor device, for example, and or other substrates.
  • the plurality of pulsed constituent electron beams is combined into the combined electron inspection beam with an electron optical arrangement and or other components.
  • the electron optical arrangement may comprise the deflecting cavity, for example, and or other devices.
  • a buncher cavity was described above.
  • a deflecting cavity differs in the sense that the electrons travel through the box perpendicular to the direction of the RF electrical field. The result is that the defecting will differ as function of time.
  • the combined electron inspection beam is aligned along a single optical axis.
  • Figure 7 illustrates combining 403 pulsed constituent electron beams 1-11 into a combined electron inspection beam 700.
  • Combined electron inspection beam 700 is oriented along a single optical axis 701, for example.
  • combined electron inspection beam 700 is formed by a combination of the out of phase pulses 702-726 of electrons.
  • the pulses 702-726 of electrons may have a spacing 752 of about 0.77ns (which corresponds to a frequency of 1.3GHz). This is an example only.
  • Combined electron inspection beam 700 has a greater brightness than each of the individual constituent pulsed electron beams 1-11.
  • the plurality of pulsed constituent electron beams 1-11 are combined into combined electron inspection beam 700 with an electron optical arrangement and/or other components.
  • the electron optical arrangement may comprise a deflecting cavity 750 (e.g., a first RF or microwave structure), for example, and/or other devices.
  • reducing the energy spread (e.g., AV in the equation above) in the combined electron inspection beam (e.g., 700 shown in Figure 7) also increases the current (I) and thus enhances inspection throughput.
  • the inspection throughput is an inverse function of the energy spread, and reducing the energy spread further enhances the inspection throughput.
  • operations 404, 406, and/or other operations may facilitate reducing the energy spread in the combined electron inspection beam.
  • method 400 is configured to reduce the energy spread of the combined electron beam. This may be performed with a combination of electron-optical elements, and/or other components.
  • method 400 includes dispersing 404 portions of the combined electron inspection beam by energy level.
  • Dispersing 404 electrons in the combined electron inspection beam by energy level may comprise passing the electrons through a curved path (e.g., an electron-optical element) and or other dispersal operations.
  • the curved path may be a chicane, for example, and or other devices configured to disperse electrons in an electron beam by energy level.
  • dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors.
  • the chicane comprises two, three, four, or more magnetic deflectors.
  • the electrons in the combined electron inspection beam are dispersed by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path through the chicane, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path through the chicane. After the chicane, the electrons form bunches based on their energy levels.
  • Figure 8 illustrates dispersing 404 portions 800 of combined electron inspection beam 700 by energy level.
  • a portion 800 of the combined electron inspection beam 700 may be an electron bunch having energy spread, for example. Electrons in portion 800 may pass through a curved path 802, for example.
  • Curved path 802 may be a chicane, for example, and or other devices configured to disperse electrons in an electron beam by energy level.
  • the chicane may include and or be formed by a plurality of magnetic deflectors. In this example, the chicane may be formed by four magnetic deflectors, but this is not intended to be limiting.
  • the electrons in portion 800 are dispersed by energy level such that electrons 850 in portion 800 having higher energy follow a relatively shorter path 852 through the chicane, and electrons 854 in portion 800 having lower energy follow a relatively longer path 856 through the chicane. (One or more other groups of electrons 858 may also follow one or more other paths 860 through the chicane). After the chicane, the electrons form bunches based on their energy levels. In this example, electrons 850, 854, and 858 are shown longitudinally separated 870.
  • method 400 includes accelerating 406 the combined electron inspection beam (e.g., 700 shown in Figure 7).
  • the combined electron inspection beam may be accelerated to reduce effects of coulomb interactions, and/or for other reasons.
  • Accelerating 406 the combined electron inspection beam is configured such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the electrons in the combined electron inspection beam may be accelerated off-crest, meaning that the lower energy electrons in a given bunch of electrons receive more energy than the higher energy electrons in the bunch. The result is less variation in energy between the electrons in the bunch.
  • the acceleration may be performed by an accelerating cavity (e.g., an electron-optical element) and or other components.
  • speed variation in individual electrons may be introduce by time varying acceleration.
  • the acceleration is provided either with a cavity or with a DC electrical field provided by an electrode much the same as the extractor in the Schottky source.
  • the acceleration cavity may include and or be formed by a box with an RF field, where the electrons travel through the box. As they are bunched, the electrons in a bunch will get the same “kick” from the electrical field. When the frequency of bunches matches the frequency of the RF field the bunches will be accelerated equally.
  • Figure 8 also illustrates accelerating 406 combined electron inspection beam 700.
  • Accelerating combined electron inspection beam 700 is performed with an accelerating cavity 868 in this example.
  • Accelerating 406 combined electron inspection beam 700 is configured such that the electrons in combined electron inspection beam 700 having the lower energy (e.g., 858) gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy (e.g., 850) so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches 870.
  • method 400 includes correcting 408 a spherical aberration (e.g., C s in the equation above) of the combined beam.
  • method 400 includes generating the combined electron inspection beam (e.g., according to the operations described above) with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron inspection beam.
  • the inspection throughput is a function of correcting the spherical aberration (e.g., as shown in the equation above), such that correcting the spherical aberration further enhances the current (I) and the inspection throughput.
  • the spherical aberration may be corrected with a multipole corrector, and/or other devices.
  • the multipole corrector may be a series of lenses, deflectors, magnetic coils, and or other components configured to correct aberrations in the combined electron inspection beam.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, at least one stigmator, and or other components.
  • Figure 9 illustrates an example of a multipole corrector 901.
  • the multipole corrector comprises a hexapole corrector, but other types of multipole correctors are contemplated.
  • multipole corrector 901 comprises a condenser lens 900, a beam tilt coil 902, a beam shift coil 904, an adaptor lens 906, hexapole elements 908 and 910, transfer lenses 912, 914, 916, and 918, alignment deflectors 920, 922, 924, 926, and 928, stigmators 930 and 932, and other components.
  • Figure 9 also illustrates a specimen plane 940, a beam 942, an axial ray 944, and a field ray 946 between condenser lens 900 and specimen plane 940.
  • beam 942 may be similar to and/or the same as combined electron inspection beam 700 shown in prior figures.
  • method 400 includes performing 410 inspection with the combined electron inspection beam (e.g., 700 shown in Figure 7).
  • Performing 410 inspection with the combined electron inspection beam is configured to enhance inspection throughput.
  • the inspection throughput is associated with bright field inspection or multi-beam inspection, for example.
  • the inspection throughput is associated with a scanning electron microscope, and/or other systems.
  • operation 410 may be performed for a semiconductor and/or other integrated circuit manufacturing process, for example.
  • the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates.
  • the one or more substrates may be associated with a semiconductor device, and or other integrated circuits, for example.
  • Figure 10 illustrates performing 410 an inspection of a sample 1050 with the combined electron inspection beam 700.
  • Figure 10 illustrates an example multibeam inspection system 1052.
  • Multibeam inspection system 1052 may comprise a source 1054, a source multiplier 1056, a first projection system 1058, a second projection system 1060, a detector 1062, and/or other components.
  • Performing 410 inspection with combined electron inspection beam 700 and system 1052 is configured to enhance inspection throughput for inspection of samples 1050.
  • the inspection throughput is associated with bright field inspection instead of multi-beam inspection as shown here.
  • system 1052 may be used for a semiconductor and or other integrated circuit manufacturing process inspection, for example.
  • the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates such as sample 1050.
  • Samples 1050 may be associated with a semiconductor device, and/or other integrated circuits, for example. It should be noted that Figure 10 is an example only, and is representative of the ability to interface the high brightness electron source described herein with a number of different electron beam systems.
  • operations 404 e.g., dispersing
  • 406 e.g., accelerating
  • other operations may be performed with a combination of electron-optical elements such as additional (e.g., second and third) RF or microwave structures, which are configured to reduce the energy spread of the combined electron beam.
  • the combination of electron-optical elements comprises second and third RF or microwave structures, for example, separated by a drift space in between.
  • the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse;
  • the drift space is configured to temporally stretch the pulse;
  • the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
  • signals used to drive the first (e.g., the deflection cavity described above), second, and third RF or microwave structures are synchronized to each other.
  • the resonance frequencies of the second and third RF or microwave structures e.g., cavities
  • the resonance frequencies of the second and third RF or microwave structures may be higher than the resonance frequency of the first (e.g., deflection) RF or microwave structure (e.g., cavity), for example by the number of electron sources times the first cavity resonance frequency.
  • the second and third RF or microwave structures may comprise resonant cavities, for example, and or other structures. These may be microwave cavities, for example, and/or other structures.
  • the signals used to drive the first, second, and/or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
  • the sinusoidal longitudinal electric field of a microwave cavity in TMoio mode can be used to modulate the energy distribution of an electron beam, which results in a temporal modulation after a drift space.
  • These cavities can be used for acceleration, compression, and monochromation of electron pulses.
  • Higher harmonics can be used to extend the linear part of the sinusoidal electromagnetic fields, increasing the maximum temporal working range of these cavities.
  • the second (stretching) cavity RF or microwave structure
  • the second (stretching) cavity may be configured to modulate the energy distribution of the electron beam as function of time into a sawtooth distribution periodic with the microwave period T. Calling the electrons that passed through the same microwave period a ‘pulse’ , although directly behind the cavity, all pulses are still temporally adjacent to each other (and hence the combined beam can be considered continuous).
  • the (second RF or microwave structure) cavity is configured such that it introduces a positive (periodic) dE/dt correlation to the electrons.
  • the pulses will stretch in time by a factor
  • the word pulses will continue to be used, because the pulses are still separated in longitudinal phase space. As the pulses stretch in time, the uncorrelated energy spread decreases because of conservation of longitudinal emittance.
  • the beam then propagates through the third RF or microwave structure, which can be formed by a monochromating cavity, for example.
  • the phase and longitudinal focal point of this cavity are configured such that the cavity field slows down the front of each pulse, and accelerates the back of each pulse, such that all electrons in the pulse have approximately the same energy.
  • the dE/dt correlation (and the correlated energy spread) is removed and the phase space density collapses onto the t-axis.
  • should be chosen as a positive integer.
  • Figure 11 illustrates a longitudinal beam expander 1101, using microwave cavities (e.g., second and third RF or microwave structures, such as TMoio cavities, 1100 and 1102).
  • Cavity 1100 is configured to stretch beam 1104, and cavity 1102 is configured to monochromate beam 1104, as described above.
  • Cavity 1100 and cavity 1102 are separated by a drift space 1106 (having a length L) in between.
  • Beam 1104 enters cavity 1100 with a shorter pulse length and a higher energy spread, and exits cavity 1102 with a longer pulse length and lower energy spread.
  • the transition from shorter pulse length and higher energy spread to longer pulse length and lower energy spread is also shown on the energy (E) versus time (t) graphs 1108, 1110, 1112, 1114, and 1116.
  • graph 1108 (which corresponds to the point at which beam 1104 enters cavity 1100) illustrates a relative short pulse length 1109 and a relatively high energy spread till.
  • pulse length 1109 is relatively long and energy spread till is relatively low (as shown by the overlapping lines in graph 1116).
  • cavity 1100 e.g., the second RF or microwave structure
  • cavity 1102 e.g., the third RF or microwave structure
  • the reduction in energy spread is determined by the stretching factor l / J which in practice is determined by the maximum on-axis electric field amplitude in the first cavity and the length of the drift space.
  • the minimum longitudinal focal length of a TMoio cavity is given by: where e is the elementary charge, E 0 is the on-axis electric field amplitude in the cavity, L c is the effective cavity length, w is the angular resonance frequency of the cavity, m e is the electron mass, g is the relativistic Lorentz factor and v z is the velocity of the electrons.
  • FIG 12 is a diagram of an example computer system CS that may be used for one or more of the operations described herein.
  • Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with bus BS for processing information.
  • Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO.
  • Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions by processor PRO.
  • Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO.
  • a storage device SD such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
  • Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display DS such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device ID is coupled to bus BS for communicating information and command selections to processor PRO.
  • cursor control CC such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM.
  • Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD.
  • Execution of the sequences of instructions included in main memory MM causes processor PRO to perform the process steps (operations) described herein.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device SD.
  • Volatile media include dynamic memory, such as main memory MM.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge.
  • Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the operations described herein.
  • Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal, for example. [00162]
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS.
  • Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions.
  • the instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
  • Computer system CS may also include a communication interface Cl coupled to bus BS.
  • Communication interface Cl provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN.
  • communication interface Cl may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface Cl may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface Cl sends and receives electrical, electromagnetic, or optical signals that carry digital data streams representing various types of information.
  • Network link NDL typically provides data communication through one or more networks to other data devices.
  • network link NDL may provide a connection through local network LAN to a host computer HC.
  • This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT.
  • Internet may use electrical, electromagnetic, or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network data link NDL and through communication interface Cl, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
  • Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CL
  • host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN, and communication interface CL
  • One such downloaded application may provide all or part of a method described herein, for example.
  • the received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other non volatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
  • FIG. 13 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • the lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS.
  • Illumination system IL can condition a beam B of radiation.
  • the illumination system also comprises a radiation source SO.
  • First object table (e.g., a patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS.
  • a patterning device table e.g., a patterning device table
  • MA e.g., a reticle
  • Second object table (e.g., a substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS.
  • Projection system e.g., which includes a lens
  • PS e.g., a refractive, catoptric or catadioptric optical system
  • Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2, for example.
  • the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device).
  • the apparatus may employ a different kind of patterning device for a classic mask; examples include a programmable mirror array or LCD matrix.
  • the source SO e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source
  • the source SO produces a beam of radiation.
  • This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander, or beam delivery system BD (comprising directing mirrors, the beam expander, etc.) for example.
  • the illuminator IL may comprise adjusting means AD for setting the outer and or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in the beam.
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
  • source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus.
  • the radiation beam that it produces may be led into the apparatus (e.g., with the aid of suitable directing mirrors), for example.
  • This latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing), for example.
  • the beam B can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. to position different target portions C in the path of beam B. Similarly, the first positioning means can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan.
  • movement of the object tables MT, WT can be realized with the aid of a long- stroke module (coarse positioning) and a short-stroke module (fine positioning).
  • patterning device table MT may be connected to a short stroke actuator, or may be fixed.
  • the depicted tool can be used in two different modes, step mode and scan mode.
  • step mode patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one operation (i.e., a single “flash”) onto a target portion C.
  • Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam B.
  • patterning device table MT is movable in a given direction (e.g., the “scan direction”, or the “y” direction) with a speed v, so that projection beam B is caused to scan over a patterning device image.
  • FIG 14 is a schematic diagram of another lithographic projection apparatus (LPA).
  • LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation), support structure MT, substrate table WT, and projection system PS.
  • Support structure e.g. a patterning device table
  • MT can be constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device.
  • Substrate table (e.g. a wafer table) WT can be constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate.
  • Projection system e.g. a reflective projection system
  • PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W
  • LPA can be of a reflective type (e.g. employing a reflective patterning device).
  • the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon.
  • the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • Illuminator IL can receive an extreme ultra violet radiation beam from source collector module SO.
  • Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium, or tin, with one or more emission lines in the EUV range.
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • Source collector module SO may be part of an EUV radiation system including a laser (not shown in Figure 10), for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • the laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation.
  • the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed a DPP source.
  • Illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and or inner radial extent (commonly referred to as s- outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (e.g. to position different target portions C in the path of radiation beam B).
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder, or capacitive sensor
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode.
  • step mode the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (e.g., a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • scan mode the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de)magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. patterning device table) MT is kept essentially stationary holding a programmable patterning device, and substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG 15 is a detailed view of the lithographic projection apparatus shown in Figure 14.
  • the LPA can include the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is configured such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO.
  • An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the hot plasma 210 is created by, for example, an electrical discharge causing at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211.
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 (described below) also includes a channel structure.
  • the collector chamber 211 may include a radiation collector CO which may be a grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252.
  • Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF along the optical axis indicated by the line ⁇ ’ .
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220.
  • the virtual source point IF is an image of the radiation emitting plasma 210.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT. More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus, for example. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Figure 14.
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
  • FIG 16 is a detailed view of source collector module SO of the lithographic projection apparatus LPA (shown in previous figures).
  • Source collector module SO may be part of an LPA radiation system.
  • a laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV.
  • Xe xenon
  • Sn tin
  • Li lithium
  • a method for enhancing inspection throughput comprising: generating a plurality of pulsed constituent electron beams; and combining the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; wherein the combined electron inspection beam is configured for inspecting a substrate.
  • the inspection throughput is a function of the brightness of the combined electron inspection beam, and wherein the greater brightness of the combined electron inspection beam enhances the inspection throughput.
  • generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors.
  • the chicane comprises four magnetic deflectors.
  • a method for enhancing inspection throughput comprising: passing an electron inspection beam through a curved path; dispersing the electron inspection beam by energy level as the electron inspection beam traverses the cured path such that electrons in the electron inspection beam having higher energy follow a relatively shorter path, and electrons in the electron inspection beam having lower energy follow a relatively longer path; and accelerating the electron inspection beam such that the electrons in the electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons; wherein the accelerated electron inspection beam is configured for inspecting a substrate.
  • a method for enhancing inspection throughput comprising: generating an electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the electron inspection beam with a multipole corrector; wherein the corrected electron inspection beam is configured for inspecting a substrate.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of clauses 1 to 23.
  • An inspection system configured to enhance inspection throughput, the system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual pulsed constituent electron beams; wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • An inspection system configured to enhance inspection throughput, the system comprising: a curved path configured to disperse a combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
  • a scanning electron microscope inspection system configured to enhance inspection throughput, the system comprising: a multipole corrector configured to correct a spherical aberration associated with a combined electron inspection beam, wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • a high brightness electron source system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual pulsed constituent electron beams.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • a high brightness electron source system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and a first radiofrequency (RF) or microwave structure configured to combine the plurality of pulsed constituent electron beams into a combined electron beam aligned along a single optical axis, the combined electron beam having a greater brightness than each of the individual pulsed constituent electron beams.
  • RF radiofrequency
  • the combination of electron-optical elements comprises second and third RF or microwave structures, separated by a drift space in between, wherein: the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; the drift space is configured to temporally stretch the pulse; the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse; and signals used to drive the first, second, and third RF or microwave structures are synchronized to each other. 55.
  • the signals used to drive the first, second, and/or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
  • the combination of electron-optical elements comprises a curved path configured to disperse the combined electron beam by energy level such that electrons in the combined electron beam having higher energy follow a relatively shorter path, and electrons in the combined electron beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron beam such that the electrons in the combined electron beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
  • the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
  • An inspection system configured to enhance inspection throughput, wherein the system comprises the high brightness low energy spread pulsed electron source system according to any of clauses 51-63.
  • a method for enhancing inspection throughput comprising: generating a plurality of pulsed constituent electron beams; combining the plurality of pulsed constituent electron beams into a combined electron inspection beam with a deflection cavity, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; dispersing the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy lead electrons in the combined electron inspection beam having lower energy; and reducing an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured for inspecting a substrate.
  • generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
  • a method for reducing the energy spread of a pulsed electron beam by increasing an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; temporally stretching the pulse using a drift space; and monochromating the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of clauses 65 to 69.
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths.
  • Emerging technologies include EUV (extreme ultra violet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • the concepts disclosed herein may be used for wafer manufacturing on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of manufacturing system (e.g., those used for manufacturing on substrates other than silicon wafers).
  • the combination and sub-combinations of disclosed elements may comprise separate embodiments.
  • separate embodiments of the method described herein may include one or more of the beam generation components, the beam combining components, the chicane, the acceleration components, the corrector components, and/or other components.
  • any or all of these components may be combined in a single embodiment.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

HIGH BRIGHTNESS LOW ENERGY SPREAD PULSED ELECTRON SOURCE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 20150403.2 which was filed on January 07, 2020 and EP application 20212120.8 which was filed on December 07, 2020 which are incorporated herein in its entirety by reference.
TECHNICAL FIELD
[0002] The description herein relates generally to a high brightness low energy spread pulsed electron source.
BACKGROUND
[0003] A lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A patterning device (e.g., a mask) may include or provide a pattern corresponding to an individual layer of the IC (“design layout”), and this pattern can be transferred onto a target portion (e.g. comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device. In general, a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time. In one type of lithographic projection apparatus, the pattern on the entire patterning device is transferred onto one target portion in one operation. Such an apparatus is commonly referred to as a stepper. In an alternative apparatus, commonly referred to as a step-and- scan apparatus, a projection beam scans over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic projection apparatus will have a reduction ratio M (e.g., 4), the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices can be found in, for example, US 6,046,792, incorporated herein by reference.
[0004] Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, such that the individual devices can be mounted on a carrier, connected to pins, etc.
[0005] Manufacturing devices, such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process. A patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
[0006] Lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro electro mechanical systems (MEMS) and other devices.
[0007] As semiconductor manufacturing processes continue to advance, the dimensions of functional elements have continually been reduced. At the same time, the number of functional elements, such as transistors, per device has been steadily increasing, following a trend commonly referred to as “Moore’ s law.” At the current state of technology, layers of devices are manufactured using lithographic projection apparatuses that project a design layout onto a substrate using illumination from a deep-ultraviolet illumination source, creating individual functional elements having dimensions well below 100 nm, i.e. less than half the wavelength of the radiation from the illumination source (e.g., a 193 nm illumination source).
[0008] This process in which features with dimensions smaller than the classical resolution limit of a lithographic projection apparatus are printed, is commonly known as low-kl lithography, according to the resolution formula CD = Mcl/NA, where l is the wavelength of radiation employed (currently in most cases 248nm or 193nm), NA is the numerical aperture of projection optics in the lithographic projection apparatus, CD is the “critical dimension”-generally the smallest feature size printed-and kl is an empirical resolution factor. In general, the smaller kl the more difficult it becomes to reproduce a pattern on the substrate that resembles the shape and dimensions planned by a designer in order to achieve particular electrical functionality and performance. To overcome these difficulties, sophisticated fine-tuning steps are applied to the lithographic projection apparatus, the design layout, or the patterning device. These include, for example, but not limited to, optimization of NA and optical coherence settings, customized illumination schemes, use of phase shifting patterning devices, optical proximity correction (OPC, sometimes also referred to as “optical and process correction”) in the design layout, or other methods generally defined as “resolution enhancement techniques” (RET). [0009] OPC and other RET utilize robust electronic models that describe the lithography process. Calibration procedures for such lithography models are thus desired that provide valid, robust, and accurate models across the process window. Currently, calibration is done using a certain number of 1 -dimensional and/or 2-dimensional gauge patterns with wafer measurements. More specifically, the 1 -dimensional gauge patterns include line-space patterns with a varying pitch and critical dimension (CD), isolated lines, multiple lines, etc. The 2-dimensional gauge patterns typically include line-ends, contacts, and randomly selected SRAM (Static Random Access Memory) patterns.
SUMMARY
[0010] Much of the context of the Summary and Detailed Description below is in the context of a high brightness low energy spread pulsed electron source used for semiconductor inspection. However, this should not be considered limiting. There are other applications, such as brain imaging as one example, for the high brightness low energy spread pulsed electron source described herein.
As such, the principles described herein may be extended to other applications beyond semiconductor imaging. In addition, the principles described here may be extended to other charged particles, beyond just electrons.
[0011] According to an embodiment, there is provided a high brightness electron source system. The system comprises a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and a first radiofrequency (RF) or microwave structure configured to combine the plurality of pulsed constituent electron beams into a combined electron beam aligned along a single optical axis. The combined electron beam has a greater brightness than each of the individual pulsed constituent electron beams.
[0012] In an embodiment, the first RF or microwave structure comprises a deflection cavity.
[0013] In an embodiment, the system further comprises a combination of electron-optical elements configured to reduce the energy spread of the electron beam.
[0014] In an embodiment, the combination of electron-optical elements comprises second and third RF or microwave structures, separated by a drift space in between. The second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse. The drift space is configured to temporally stretch the pulse. The third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse. Signals used to drive the first, second, and third RF or microwave structures are synchronized to each other.
[0015] In an embodiment, the signals used to drive the first, second, and or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
[0016] In an embodiment, the second and third RF or microwave structures comprise resonant cavities.
[0017] In an embodiment, the combination of electron-optical elements comprises a curved path configured to disperse the combined electron beam by energy level such that electrons in the combined electron beam having higher energy follow a relatively shorter path, and electrons in the combined electron beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron beam such that the electrons in the combined electron beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
[0018] In an embodiment, the curved path is a chicane.
[0019] In an embodiment, the chicane comprises a plurality of magnetic deflectors.
[0020] In an embodiment, the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron beam.
[0021] In an embodiment, the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
[0022] In an embodiment, pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron beam is formed by a combination of the out of phase pulses of electrons.
[0023] In an embodiment, the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
[0024] According to an embodiment, there is provided an inspection system configured to enhance inspection throughput, wherein the system comprises the high brightness low energy spread pulsed electron source system described above.
[0025] According to another embodiment, there is provided a method for enhancing inspection throughput, the method comprising: generating a plurality of pulsed constituent electron beams; [0026] combining the plurality of pulsed constituent electron beams into a combined electron inspection beam with a deflection cavity, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; dispersing the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy lead electrons in the combined electron inspection beam having lower energy; and reducing an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured for inspecting a substrate. [0027] In an embodiment, the inspection throughput is a function of the brightness of the combined electron inspection beam, and wherein the greater brightness of the combined electron inspection beam enhances the inspection throughput.
[0028] In an embodiment, the combined electron inspection beam is aligned along a single optical axis.
[0029] In an embodiment, generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
[0030] According to an embodiment, there is provided a method for reducing the energy spread of a pulsed electron beam, by increasing an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; temporally stretching the pulse using a drift space; and monochromating the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
[0031] According to an embodiment, there is provided a method for enhancing inspection throughput. The method comprises generating a plurality of pulsed constituent electron beams and combining the plurality of pulsed constituent electron beams into a combined electron inspection beam. The combined electron inspection beam has a greater brightness than each of the individual constituent pulsed electron beams. The combined electron inspection beam is configured for inspecting a substrate.
[0032] In an embodiment, the inspection throughput is a function of the brightness of the combined electron inspection beam. The greater brightness of the combined electron inspection beam enhances the inspection throughput.
[0033] In an embodiment, the combined electron inspection beam is aligned along a single optical axis.
[0034] In an embodiment, the inspection throughput is associated with a semiconductor manufacturing process, and the substrate is associated with a semiconductor device.
[0035] In an embodiment, pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
[0036] In an embodiment, generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
[0037] In an embodiment, the plurality of pulsed constituent electron beams is combined into the combined electron inspection beam with a deflecting cavity. [0038] In an embodiment, generating the plurality of pulsed constituent electron beams comprises emitting the plurality of pulsed constituent electron beams by a corresponding plurality of Schottky emitters or cold field emitters. In an embodiment, Schottky emitters are the most useful electron sources for semiconductor inspection. For other applications it is possible that, for example, thermal emitters are preferred as a starting point as they can deliver more current at lower brightness.
[0039] In an embodiment, the method further comprises accelerating the combined electron inspection beam to reduce effects of coulomb interactions.
[0040] In an embodiment, the method further comprises: dispersing electrons in the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path through a chicane, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path through the chicane; and accelerating the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
[0041] In an embodiment, the inspection throughput is an inverse function of the energy spread, and reducing the energy spread further enhances the inspection throughput.
[0042] In an embodiment, dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors. In an embodiment, the chicane comprises four magnetic deflectors. [0043] In an embodiment, the accelerating is performed by an acceleration cavity.
[0044] In an embodiment, dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches.
[0045] In an embodiment, the inspection throughput is associated with bright field inspection or multi-beam inspection.
[0046] In an embodiment, inspection throughput is associated with a scanning electron microscope. [0047] In an embodiment, the method further comprises: generating the combined electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron inspection beam with a multipole corrector. In an embodiment, the inspection throughput is a function of correcting the spherical aberration, such that correcting the spherical aberration further enhances the inspection throughput.
[0048] In an embodiment, the plurality of pulsed constituent electron beams comprises at least 10 pulsed constituent electron beams.
[0049] According to another embodiment, there is provided a method for enhancing inspection throughput. The method comprises passing an electron inspection beam through a curved path; dispersing the electron inspection beam by energy level as the electron inspection beam traverses the cured path such that electrons in the electron inspection beam having higher energy follow a relatively shorter path, and electrons in the electron inspection beam having lower energy follow a relatively longer path; and accelerating the electron inspection beam such that the electrons in the electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. The accelerated electron inspection beam is configured for inspecting a substrate.
[0050] In an embodiment, the curved path comprises a chicane.
[0051] According to another embodiment, there is provided a method for enhancing inspection throughput. The method comprises generating an electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the electron inspection beam with a multipole corrector. The corrected electron inspection beam is configured for inspecting a substrate. [0052] According to another embodiment, there is provided a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon. The instructions, when executed by a computer, implement any or all of the operations described above.
[0053] According to another embodiment, there is provided an inspection system configured to enhance inspection throughput. The system comprises a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam. The combined electron inspection beam has a greater brightness than each of the individual pulsed constituent electron beams. The combined electron inspection beam is configured to be used for inspecting a substrate.
[0054] In an embodiment, the electron optical arrangement comprises a deflecting cavity.
[0055] In an embodiment, the system further comprises a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
[0056] In an embodiment, the curved path is a chicane. In an embodiment, the chicane comprises a plurality of magnetic deflectors.
[0057] In an embodiment, the accelerator comprises an accelerating cavity.
[0058] In an embodiment, the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam. In an embodiment, the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
[0059] In an embodiment, pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
[0060] In an embodiment, the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
[0061] In an embodiment, the inspection system is a scanning electron microscope.
[0062] According to another embodiment, there is provided an inspection system configured to enhance inspection throughput. The system comprises a curved path configured to disperse a combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path. The system comprises an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
The combined electron inspection beam is configured to be used for inspecting a substrate.
[0063] In an embodiment, the curved path is a chicane. In an embodiment, the chicane comprises a plurality of magnetic deflectors.
[0064] According to another embodiment, there is provided a scanning electron microscope inspection system configured to enhance inspection throughput. The system comprises a multipole corrector configured to correct a spherical aberration associated with a combined electron inspection beam. The combined electron inspection beam is configured to be used for inspecting a substrate. [0065] In an embodiment, the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
[0066] According to another embodiment, there is provided a high brightness electron source system. The system comprises a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam. The combined electron inspection beam has a greater brightness than each of the individual pulsed constituent electron beams.
[0067] In an embodiment, the electron optical arrangement comprises a deflecting cavity.
[0068] In an embodiment, the system further comprises a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
[0069] In an embodiment, the curved path is a chicane.
[0070] In an embodiment, the chicane comprises a plurality of magnetic deflectors.
[0071] In an embodiment, the accelerator comprises an accelerating cavity.
[0072] In an embodiment, the system further comprises a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam.
[0073] In an embodiment, the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
[0074] In an embodiment, pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
[0075] In an embodiment, the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
BRIEF DESCRIPTION OF THE DRAWINGS
[0076] The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate one or more embodiments and, together with the description, explain these embodiments. Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
[0077] Figure 1 illustrates a block diagram of various subsystems of a lithographic projection apparatus, according to an embodiment.
[0078] Figure 2 schematically depicts an embodiment of an electron beam inspection apparatus, according to an embodiment.
[0079] Figure 3 schematically illustrates another embodiment of an inspection apparatus, according to an embodiment.
[0080] Figure 4 illustrates an example method for enhancing inspection throughput, according to an embodiment.
[0081] Figure 5 illustrates an example of a Schottky emitter, according to an embodiment. It should be noted that when a buncher cavity is used (described herein), a continuous emission Schottky emitter can be used, for example.
[0082] Figure 6 illustrates an example of buncher cavities, according to an embodiment. [0083] Figure 7 illustrates combining pulsed constituent electron beams into a combined electron inspection beam, according to an embodiment.
[0084] Figure 8 illustrates dispersing portions of the combined electron inspection beam by energy level, according to an embodiment.
[0085] Figure 9 illustrates an example of a multipole corrector, according to an embodiment.
[0086] Figure 10 illustrates performing an inspection with the combined electron inspection beam, according to an embodiment.
[0087] Figure 11 illustrates a longitudinal beam expander using microwave cavities, according to an embodiment.
[0088] Figure 12 is a block diagram of an example computer system, according to an embodiment. [0089] Figure 13 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
[0090] Figure 14 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
[0091] Figure 15 is a detailed view of a lithographic projection apparatus, according to an embodiment.
[0092] Figure 16 is a detailed view of the source collector module of a lithographic projection apparatus, according to an embodiment.
DETAILED DESCRIPTION
[0093] Brightfield defect inspection as part of a semiconductor and/or integrated circuit manufacturing process is often performed with (beams of) light. Advantageously, light based inspection is a relatively fast process, and light based inspection throughput does not usually limit overall manufacturing output. However, it is more and more difficult to find ever smaller defects in manufactured semiconductor and or other integrated circuit devices with light based inspection techniques. This is because the sizes of defects that can be detected with light based techniques are limited by the wavelengths of the light used during inspection.
[0094] Electron beam inspection techniques can be used as an alternative to light based inspection techniques. The inspection resolution of an electron beam is higher than that of a light beam because there is no wavelength associated with an electron beam (the effective wavelength of an electron beam is smaller than from a light beam) and electrons in the electron beam are small compared to the features of a semiconductor device and/or other integrated circuits. Thus, defects not detectable with light based techniques may be found with electron beam inspection techniques. However, past electron beam inspection techniques are slow compared to their light based counterparts. Slow throughput times often prevent use of prior electron beam inspection techniques.
[0095] To address these and other disadvantages of prior systems, the present electron beam based inspection systems and methods are configured such that more beam current (relative to prior electron beam based systems) is delivered to a substrate, while maintaining the increased resolution of an electron beam based inspection system (relative to light based systems). This, in turn, enhances inspection throughput. The present systems and methods are also configured to correct and/or otherwise decrease an energy spread in an electron inspection beam, and correct spherical aberration in the electron inspection beam. These operations also enhance inspection throughput. In these and other ways, the present systems and methods provide higher inspection throughput compared to prior electron beam based inspection systems, while also providing increased resolution compared to light based inspection.
[0096] When an electron beam is focused by an electromagnetic lens, the energy spread of the beam can cause chromatic aberrations which fundamentally limit the resolution of electron microscopes.
An energy-filtering monochromator can reduce the energy spread of an electron beam (and therefore increase the resolution of a microscope) by spatially separating electrons of different energies using a dispersive element and selecting only a small monochromatic fraction of the beam using a small slit, but at the expense of current. Typically, an energy -selecting monochromator throws away 90% to 99% of the current, which is unacceptable when throughput is important and at other times. In some embodiments, the present systems and methods include two phase-locked time-dependent electromagnetic fields configured to decrease the energy spread of a pulsed electron beam, without sacrificing current. In some embodiments, the present systems and methods utilize a chicane and an accelerator, as described below. These examples are not intended to be limiting.
[0097] The present disclosure will now be described in detail with reference to the drawings, which are provided as illustrative examples of the disclosure so as to enable those skilled in the art to practice the disclosure. Notably, the figures and examples below are not meant to limit the scope of the present disclosure to a single embodiment, but other embodiments are possible by way of interchange of some or ah of the described or illustrated elements. Moreover, where certain elements of the present disclosure can be partially or fully implemented using known components, only those portions of such known components that are necessary for an understanding of the present disclosure will be described, and detailed descriptions of other portions of such known components will be omitted so as not to obscure the disclosure. Embodiments described as being implemented in software should not be limited thereto, but can include embodiments implemented in hardware, or combinations of software and hardware, and vice-versa, as will be apparent to those skilled in the art, unless otherwise specified herein. In the present specification, an embodiment showing a singular component should not be considered limiting; rather, the disclosure is intended to encompass other embodiments including a plurality of the same component, and vice-versa, unless explicitly stated otherwise herein. Moreover, applicants do not intend for any term in the specification or claims to be ascribed an uncommon or special meaning unless explicitly set forth as such. Further, the present disclosure encompasses present and future known equivalents to the known components referred to herein by way of illustration. [0098] Although specific reference may be made in this text to the manufacture of semiconductor devices and/or other integrated circuits (ICs), it should be explicitly understood that the description herein has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “reticle”, “wafer” or “die” in this text should be considered as interchangeable with the more general terms “mask”, “substrate” and “target portion”, respectively.
[0099] In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193,
157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm).
[00100] The term “projection optics,” as used herein, should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures and catadioptric optics, for example. The term “projection optics” may also include components operating according to any of these design types for directing, shaping, or controlling the projection beam of radiation, collectively or singularly. The term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus. Projection optics may include optical components for shaping, adjusting and or projecting radiation from the source before the radiation passes the (e.g., semiconductor) patterning device, and or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device. The projection optics generally exclude the source and the patterning device.
[00101] The (e.g., semiconductor) patterning device can comprise, or can form, one or more design layouts. The design layout can be generated utilizing CAD (computer-aided design) programs, this process often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices.
These rules are set by processing and design limitations. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the devices or lines do not interact with one another in an undesirable way. The design rules may include and or specify specific parameters, limits on and or ranges for parameters, and or other information. One or more of the design rule limitations and or parameters may be referred to as a “critical dimension” (CD). A critical dimension of a device can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes, or other features. Thus, the CD determines the overall size and density of the designed device. One of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device). [00102] The term “mask” or “patterning device” as employed in this text may be broadly interpreted as referring to a generic semiconductor patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context. Besides the classic mask (transmissive or reflective; binary, phase-shifting, hybrid, etc.), examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
[00103] An example of a programmable mirror array can be a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. Using an appropriate filter, the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic means. An example of a programmable LCD array is given in U.S. Patent No. 5,229,872, which is incorporated herein by reference.
[00104] As used herein, the term “patterning process” generally means a process that creates an etched substrate by the application of specified patterns of light as part of a lithography process. However, “patterning process” can also include plasma etching, as many of the features described herein can provide benefits to forming printed patterns using plasma processing.
[00105] As used herein, the term “target pattern” means an idealized pattern that is to be etched on a substrate.
[00106] As used herein, the term “printed pattern” means the physical pattern on a substrate that was etched based on a target pattern. The printed pattern can include, for example, troughs, channels, depressions, edges, or other two and three dimensional features resulting from a lithography process. [00107] As used herein, the term “prediction model” and/or “process model” (which may be used interchangeably) means a model that includes one or more models that simulate a patterning process. For example, a prediction and/or process model can include an optical model (e.g., that models a lens system/projection system used to deliver light in a lithography process and may include modelling the final optical image of light that goes onto a photoresist), a resist model (e.g., that models physical effects of the resist, such as chemical effects due to the light), and an OPC model (e.g., that can be used to make target patterns and may include sub-resolution resist features (SRAFs), etc.), and/or other models.
[00108] As used herein, the term “calibrating” means to modify (e.g., improve or tune) and/or validate something, such as the process model.
[00109] As an introduction, Figure 1 illustrates a diagram of various subsystems of an example lithographic projection apparatus 10A. Major components are a radiation source 12A, which may be a deep-ultraviolet excimer laser source or other type of source including an extreme ultra violet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which, for example, define the partial coherence (denoted as sigma) and which may include optics 14 A, 16Aa and 16Ab that shape radiation from the source 12 A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A. An adjustable filter or aperture 20A at the pupil plane of the projection optics may restrict the range of beam angles that impinge on the substrate plane 22A, where the largest possible angle defines the numerical aperture of the projection optics NA= n sin(0max), wherein n is the refractive index of the media between the substrate and the last element of the projection optics, and 0max is the largest angle of the beam exiting from the projection optics that can still impinge on the substrate plane 22A.
[00110] In a lithographic projection apparatus, a source provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate. The projection optics may include at least some of the components 14A, 16Aa,
16Ab and 16Ac. An aerial image (AI) is the radiation intensity distribution at substrate level. A resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety. The resist model is related to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake (PEB) and development). Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, the patterning device, and the projection optics) dictate the aerial image and can be defined in an optical model. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the source and the projection optics. Details of techniques and models used to transform a design layout into various lithographic images (e.g., an aerial image, a resist image, etc.), apply OPC using those techniques and models and evaluate performance (e.g., in terms of process window) are described in U.S. Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, and 2010-0180251, the disclosure of each which is hereby incorporated by reference in its entirety.
[00111] It may be desirable to use one or more tools to produce results that, for example, can be used to design, control, monitor, etc. the patterning process. One or more tools used in computationally controlling, designing, etc. one or more aspects of the patterning process, such as the pattern design for a patterning device (including, for example, adding sub-resolution assist features or optical proximity corrections), the illumination for the patterning device, etc., may be provided. Accordingly, in a system for computationally controlling, designing, etc. a manufacturing process involving patterning, the manufacturing system components and/or processes can be described by various functional modules and or models. In some embodiments, one or more electronic (e.g., mathematical, parameterized, etc.) models may be provided that describe one or more steps and/or apparatuses of the patterning process. In some embodiments, a simulation of the patterning process can be performed using one or more electronic models to simulate how the patterning process forms a patterned substrate using a design pattern provided by a patterning device.
[00112] Fabricated devices may be inspected at various points during manufacturing. Figure 2 schematically depicts a generalized embodiment of an electron beam inspection apparatus 50. In some embodiments, the inspection apparatus may be an electron beam inspection apparatus (e.g., the same as or similar to a scanning electron microscope (SEM)) that yields an image of a structure (e.g., some or all the structure of a device, such as an integrated circuit) exposed or transferred on a substrate. A primary electron beam 52 emitted from an electron source 54 is converged by condenser lens 56 and then passes through a beam deflector 58, an E x B deflector 60, and an objective lens 62 to irradiate a substrate 70 on a substrate table ST at a focus.
[00113] When the substrate 70 is irradiated with electron beam 52, secondary electrons are generated from the substrate 70. The secondary electrons are deflected by the E x B deflector 60 and detected by a secondary electron detector 72. A two-dimensional electron beam image can be obtained by detecting the electrons generated from the sample in synchronization with, e.g., two dimensional scanning of the electron beam by beam deflector 58 or with repetitive scanning of electron beam 52 by beam deflector 58 in an X or Y direction, together with continuous movement of the substrate 70 by the substrate table ST in the other of the X or Y direction. Thus, in an embodiment, the electron beam inspection apparatus has a field of view for the electron beam defined by the angular range into which the electron beam can be provided by the electron beam inspection apparatus (e.g., the angular range through which the deflector 60 can provide the electron beam 52). Thus, the spatial extent of the field of the view is the spatial extent to which the angular range of the electron beam can impinge on a surface (wherein the surface can be stationary or can move with respect to the field).
[00114] As shown in Figure 2, a signal detected by secondary electron detector 72 may be converted to a digital signal by an analog/digital (A/D) converter 74, and the digital signal may be sent to an image processing system 76. In an embodiment, the image processing system 76 may have memory 78 to store all or part of digital images for processing by a processing unit 80. The processing unit 80 (e.g., specially designed hardware or a combination of hardware and software or a computer readable medium comprising software) is configured to convert or process the digital images into datasets representative of the digital images. In an embodiment, the processing unit 80 is configured or programmed to cause execution of an operation (e.g., SEM inspection) described herein. Further, image processing system 76 may have a storage medium 82 configured to store the digital images and corresponding datasets in a reference database. A display device 84 may be connected with the image processing system 76, so that an operator can conduct necessary operation of the equipment with the help of a graphical user interface. [00115] Figure 3 schematically illustrates another embodiment of an inspection apparatus. The system is used to inspect a sample 90 (such as a substrate) on a sample stage 89 and comprises a charged particle beam generator 81, a condenser lens module 99, a probe forming objective lens module 83, a charged particle beam deflection module 88, a secondary charged particle detector module 85, an image forming module 86, and/or other components. The charged particle beam generator 81 generates a primary charged particle beam 91. The condenser lens module 99 condenses the generated primary charged particle beam 91. The probe forming objective lens module 83 focuses the condensed primary charged particle beam into a charged particle beam probe 92. The charged particle beam deflection module 88 scans the formed charged particle beam probe 92 across the surface of an area of interest on the sample 90 secured on the sample stage 89. In some embodiments, the charged particle beam generator 81, the condenser lens module 83, and the probe forming objective lens module 83, or their equivalent designs, alternatives or any combination thereof, together form a charged particle beam probe generator which generates the scanning charged particle beam probe 92.
[00116] The secondary charged particle detector module 85 detects secondary charged particles 93 emitted from the sample surface (maybe also along with other reflected or scattered charged particles from the sample surface) upon being bombarded by the charged particle beam probe 92 to generate a secondary charged particle detection signal 94. The image forming module 86 (e.g., a computing device) is coupled with the secondary charged particle detector module 85 to receive the secondary charged particle detection signal 94 from the secondary charged particle detector module 85 and accordingly form at least one scanned image. In an embodiment, the secondary charged particle detector module 85 and image forming module 86, or their equivalent designs, alternatives or any combination thereof, together form an image forming apparatus which forms a scanned image from detected secondary charged particles emitted from sample 90 being bombarded by the charged particle beam probe 92.
[00117] In an embodiment, a monitoring module 87 is coupled to the image forming module 86 of the image forming apparatus to monitor, control, etc. the patterning process and or derive a parameter for patterning process design, control, monitoring, etc. using the scanned image of the sample 90 received from image forming module 86. In some embodiments, the monitoring module 87 is configured or programmed to cause execution of an operation described herein. In some embodiments, the monitoring module 87 comprises a computing device. In some embodiments, the monitoring module 87 comprises a computer program configured to provide functionality described herein. In some embodiments, a probe spot size of the electron beam in the system of Figure 3 is significantly larger compared to, e.g., a CD, such that the probe spot is large enough so that the inspection speed can be fast. However, the resolution may be lower because of the large probe spot.
[00118] Images, from, e.g., the system of Figure 2 and or Fig. 3, may be processed to extract dimensions, shapes, contours, and or other information that describe the edges of objects, representing semiconductor device structures, in the image. The shapes, contours, and/or other information may be quantified via metrics, such as CD, at user-defined cut-lines and or in other locations. In some embodiments, the images of device structures are compared and quantified via metrics, such as an edge-to-edge distance (CD) measured on extracted contours or simple pixel differences between images. Alternatively, metrics can include EP gauges and/or other parameters.
[00119] As described above, the present electron beam based inspection systems and methods are configured such that more beam current (relative to prior electron beam based systems) is delivered to a substrate, while maintaining the increased resolution of an electron beam based inspection system (relative to light based systems). This in turn, enhances throughput. The present systems and methods are also configured to correct and/or otherwise decrease an energy spread in an electron inspection beam, and correct spherical aberration in the electron inspection beam. These operations also enhance throughput. In these and other ways, the present systems and methods provide higher inspection throughput compared to prior electron beam based inspection systems, while also providing increased resolution compared to light based inspection.
[00120] Figure 4 illustrates an example method 400 according to one or more embodiments. Method 400 may be a method for enhancing inspection throughput. In some embodiments, the inspection throughput is associated with bright field inspection or multi-beam inspection, for example. In some embodiments, the inspection throughput is associated with a scanning electron microscope, the system of Figure 2 and or Figure 3, and/or other systems. In some embodiments, one or more operations of method 400 described below may be performed in or by one or more components of a scanning electron microscope. In some embodiments, the scanning electron microscope may form an inspection system such as the ones described in Figures 2 and or 3 and or other inspection systems, for example. In some embodiments, the operations of method 400 are performed for a semiconductor manufacturing process, for example. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates. The one or more substrates may be associated with a semiconductor device, and/or other integrated circuits, for example.
[00121] As shown in Figure 4, method 400 may include generating 402 pulsed constituent electron beams, combining 403 the pulsed constituent electron beams into a combined beam, dispersing 404 portions of the combined beam by energy level, accelerating 406 the combined beam, correcting 408 a spherical aberration of the combined beam, performing 410 inspection with the combined beam, and/or other operations. These operations are described in more detail below.
[00122] The operations of method 400 presented below are intended to be illustrative. In some embodiments, method 400 may be accomplished with one or more additional operations not described, and or without one or more of the operations discussed. Additionally, the order in which the operations of method 400 are illustrated in FIG. 4 and described below is not intended to be limiting. [00123] In some embodiments, one or more portions of method 400 may be implemented (e.g., by simulation, modeling, electronically controlling one or more components of a scanning electron microscope, etc.) in one or more processing devices. The one or more processing devices may include one or more devices executing some or all of the operations of method 400 in response to instructions stored electronically on an electronic storage medium. The one or more processing devices may include one or more devices configured through hardware, firmware, and/or software to be specifically designed for execution of one or more of the operations of method 400, for example. [00124] Throughput associated with an electron beam inspection system is limited by the system electron inspection beam current, which is the product of the electron current in an individual beamlet and the number of beamlets (combined to form the electron inspection beam) in the system. Some systems are limited in the number of beamlets they can provide, so throughput cannot be enhanced by simply adding more beamlets. Such systems may be limited in this way because a field size at a substrate (undergoing inspection) is limited due to field aberrations that can only be compensated for to a certain extent by an aperture plate. The beamlets at the aperture plate have to be separated to allow for space for the field aberration correcting elements in the aperture plate, for example.
[00125] As an alternative to simply adding more beamlets (which is often not possible as described above), properties of existing beamlets may be enhanced. For example, the current in a beamlet is determined by an electron source brightness, beam aberrations, a coulomb interaction, and other factors according to the following equation:
Figure imgf000019_0001
In this equation, I is current in an individual beamlet, Br is the source brightness, Cs is an spherical aberration coefficient, Cc is an aberration coefficient associated with chromatic aberration, V is beam energy, a is the opening angle of the beam at the substrate, dspot is the diameter of the beamlet, and AV is the beamlet’ s energy spread. As the equation makes clear, increasing brightness, correcting spherical aberration, correcting the chromatic aberration, decreasing the energy spread, and or other operations enhance the current (of the beamlet and the overall system electron inspection beam), and in turn enhances inspection throughput. The variable dspot is associated with the application, i.e., for inspection defects of size x, one needs an optical resolution y where the ratio x/y is constant. V is limited as higher energy results in more damaging impact on the substrate. Cc can be corrected much the same as Cs (as described herein) and this is an alternative to reducing AV. The operations of method 400 described below are configured to increase a brightness of the electron inspection beam, decrease an energy spread in the electron inspection beam, correct a spherical aberration associated with the electron inspection beam, and/or have other effects which increase the system beam current (and thus enhance throughput). [00126] For example, for inspection at a 2nm node, a 1000 mm2 /hour throughput requires a total beam current of 9 mA at the substrate level. This would require 9000 beamlets in a prior system, which does not fit the current 100-400 beamlet maximum for such systems. In contrast, for the same requirements, the present system(s) and or methods facilitate use of only 121 (i.e., 11x11 beamlets), which does fit within the current 100-400 beamlet system designs. (In this example, with the present systems and methods, forty sources (as described below) may be combined and an associated energy spread and Cs are reduced by 50%).
[00127] Brightness (e.g., Br in the equation above) may be enhanced by adding multiple sources together to create a new source with the same emittance (area times solid angle of emission), while the total current is the sum of all sources. In some embodiments, operation 402, 403, and/or other operations may facilitate enhancing source brightness.
[00128] Method 400 includes generating 402 a plurality of pulsed constituent electron beams. In some embodiments, the plurality of pulsed constituent electron beams comprises at least 2, 5, 10, or more pulsed constituent electron beams. In some embodiments, pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other.
[00129] For example, in some embodiments, generating the plurality of pulsed constituent electron beams comprises emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams. The plurality of pulsed constituent electron beams may be generated by a corresponding number of emitters, for example. The emitters may be included in and or associated with a scanning electron microscope, for example, and or other systems. In some embodiments, generating the plurality of pulsed constituent electron beams comprises emitting the plurality of pulsed constituent electron beams by a corresponding plurality of Schottky emitters or cold field emitters, and then causing energy spread in individual beams of the plurality of continuous beams (e.g., with buncher cavities and/or other components) to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
[00130] This is illustrated in Figures 5 and 6. Figure 5 illustrates an example of a Schottky emitter 500. A Schottky emitter may be advantageous because it is associated with relatively high brightness, and relative stability, compared to other emitters. Emitter 500 includes an electron source needle 502, a suppressor 504, an extractor 506, and a focus anode 508. As shown in Figure 5, energy 510 (e.g., a laser) is focused on a tip 512 of electron source needle 502, which gives off electrons (e ) responsive to absorbing at least some of energy 510. Suppressor 504 is configured to concentrate the field strength on the tip of the needle. Extractor 506 is configured to pull away emitted electrons from the tip of the needle. Focus anode 508 is configured to focus the extracted electrons. Figure 5 illustrates a pulsed Schottky emitter. It should be noted that when a buncher cavity is used, a continuous emission Schottky emitter can be used, for example. The present disclosure is intended to cover both embodiments. Also, in some embodiments, Schottky emitters are the most useful electron sources for semiconductor inspection. For other applications it is possible that, for example, thermal emitters are preferred as a starting point as they can deliver more current at lower brightness.
[00131] Figure 6 illustrates an example of buncher cavities 600. Buncher cavities 600 are configured to cause energy spread in an individual electron beam (e.g., the beam of electrons shown in Figure 5) to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams. Buncher cavities 600 may include an electron source 602 (e.g., which may be similar to and/or the same as emitter 500 shown in Figure 5), an accelerator 604, a first resonant cavity 606 (having an input 607) that forms a buncher 608, a second resonant cavity 610 (having an output 611) that forms a catcher 612, and a collector 614. Accelerator 604 may be configured to accelerate the electrons in the beam of electrons to reduce the effects of coulomb interactions, for example. Coulomb interaction is the effect that electrons repel each other as they are all negatively charged. The result is blurring of the spot: it enlarges the probe size much the same as aberrations do. The effect is more severe when the electron density is higher (I) or when they travel slower (V). Collector 614 may be an anode plate for example, and or be formed by other components. In some embodiments, the anode may have a hole in the center such that electrons can pass on their way to a substrate. In some embodiments, there may be a feedback path 620 between cavity 610 and cavity 606. As shown in Figure 6, buncher 608 is configured to generate groups 650 of bunched (or pulsed) electrons. For example, speed variation in individual electrons may be introduce by time varying acceleration in buncher cavities 600. After a drift space the electrons are grouped in bunches. Potentially stray electrons may be blocked by second cavity 610. In some embodiments, the cavity is a “box” in which a radio frequent electrical field is generated. The orientation of the field is such that it alternates in the same direction the stream of electrons is passing the “box”. Those electrons that are in the box at the moment that the field strength is maximally pointing forward, will get extra energy so they will travel faster than the average. Those electrons that are in the box at the moment that the field strength is maximally pointing backward, will get lower energy so they will travel slower than the average. Speed variation between the electrons will result in bunching.
[00132] In some embodiments, a plurality of Schottky emitters (e.g., 500 shown in Figure 5) and or corresponding buncher cavities (e.g., 600 shown in Figure 6) are used to generate a plurality of separate pulsed constituent electron beams. In some embodiments, as described above, the plurality of pulsed constituent electron beams comprises at least 2, 5, 10, or more pulsed constituent electron beams. This means that there may be 2, 5, 10 or more sets of Schottky emitters and or buncher cavities, for example. In some embodiments, the separate pulsed constituent electron beams may have frequencies of about 130MHz, for example. Bunches having a duration of about lOpc can be created with about a 7.7ns spacing at this frequency. These examples are not intended to be limiting. In some embodiments, the Schottky emitter and/or buncher cavities are configured such that the pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other. For example, the pulses of electrons in the different ones of the plurality of pulsed constituent electron beams have a timing that does not match the timing of other pulses of electrons in other beams. This is realized by proper phasing of the RF field in the buncher cavities associated to the various beams.
[00133] It should be noted that, in some embodiments, buncher cavities 600 may not be necessary. Instead, for example, the energy (e.g., the laser) directed at the tip (e.g., 512) of the electron source needle (e.g., 502) shown in Figure 5 may be pulsed to create a pulsed flow of electrons in an electron beam from a given source.
[00134] Returning to Figure 4, method 400 includes combining 403 the pulsed constituent electron beams into a combined electron inspection beam. The combined electron inspection beam is formed by a combination of the out of phase pulses of electrons. The combined electron inspection beam has a greater brightness than each of the individual constituent pulsed electron beams. In some embodiments, a (e.g., first) radiofrequency (RF) or microwave structure, such as a deflection cavity, is configured to combine the plurality of pulsed constituent electron beams into a combined electron beam. As described above, the inspection throughput is a function of the brightness of the combined electron inspection beam. The greater brightness of the combined electron inspection beam enhances the inspection throughput. For example, since Br is positive and appears on the right hand side of the equation shown above, any increase in brightness produces a corresponding increase in current (I).
As described above, increasing current enhances inspection throughput.
[00135] The combined electron inspection beam may be configured for inspecting a substrate and/or other objects. For example, the combined electron inspection beam may be a scanning electron microscope inspection beam. The substrate may be part of a semiconductor device, for example, and or other substrates. In some embodiments, the plurality of pulsed constituent electron beams is combined into the combined electron inspection beam with an electron optical arrangement and or other components. The electron optical arrangement may comprise the deflecting cavity, for example, and or other devices.
[00136] A buncher cavity was described above. A deflecting cavity differs in the sense that the electrons travel through the box perpendicular to the direction of the RF electrical field. The result is that the defecting will differ as function of time. In some embodiments, the combined electron inspection beam is aligned along a single optical axis.
[00137] Figure 7 illustrates combining 403 pulsed constituent electron beams 1-11 into a combined electron inspection beam 700. Combined electron inspection beam 700 is oriented along a single optical axis 701, for example. As shown in Figure 7, combined electron inspection beam 700 is formed by a combination of the out of phase pulses 702-726 of electrons. In this example, once combined, the pulses 702-726 of electrons may have a spacing 752 of about 0.77ns (which corresponds to a frequency of 1.3GHz). This is an example only. Combined electron inspection beam 700 has a greater brightness than each of the individual constituent pulsed electron beams 1-11. In some embodiments, the plurality of pulsed constituent electron beams 1-11 are combined into combined electron inspection beam 700 with an electron optical arrangement and/or other components. The electron optical arrangement may comprise a deflecting cavity 750 (e.g., a first RF or microwave structure), for example, and/or other devices.
[00138] Returning to Figure 4, according to the equation above, reducing the energy spread (e.g., AV in the equation above) in the combined electron inspection beam (e.g., 700 shown in Figure 7) also increases the current (I) and thus enhances inspection throughput. For example, the inspection throughput is an inverse function of the energy spread, and reducing the energy spread further enhances the inspection throughput. In some embodiments, operations 404, 406, and/or other operations may facilitate reducing the energy spread in the combined electron inspection beam. [00139] As described above, method 400 is configured to reduce the energy spread of the combined electron beam. This may be performed with a combination of electron-optical elements, and/or other components. For example, method 400 includes dispersing 404 portions of the combined electron inspection beam by energy level. Dispersing 404 electrons in the combined electron inspection beam by energy level may comprise passing the electrons through a curved path (e.g., an electron-optical element) and or other dispersal operations. The curved path may be a chicane, for example, and or other devices configured to disperse electrons in an electron beam by energy level. In some embodiments, dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors. In some embodiments, the chicane comprises two, three, four, or more magnetic deflectors. In some embodiments, the electrons in the combined electron inspection beam are dispersed by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path through the chicane, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path through the chicane. After the chicane, the electrons form bunches based on their energy levels.
[00140] By way of a non-limiting example, Figure 8 illustrates dispersing 404 portions 800 of combined electron inspection beam 700 by energy level. A portion 800 of the combined electron inspection beam 700 may be an electron bunch having energy spread, for example. Electrons in portion 800 may pass through a curved path 802, for example. Curved path 802 may be a chicane, for example, and or other devices configured to disperse electrons in an electron beam by energy level. The chicane may include and or be formed by a plurality of magnetic deflectors. In this example, the chicane may be formed by four magnetic deflectors, but this is not intended to be limiting. In some embodiments, the electrons in portion 800 are dispersed by energy level such that electrons 850 in portion 800 having higher energy follow a relatively shorter path 852 through the chicane, and electrons 854 in portion 800 having lower energy follow a relatively longer path 856 through the chicane. (One or more other groups of electrons 858 may also follow one or more other paths 860 through the chicane). After the chicane, the electrons form bunches based on their energy levels. In this example, electrons 850, 854, and 858 are shown longitudinally separated 870.
[00141] Returning to Figure 4, method 400 includes accelerating 406 the combined electron inspection beam (e.g., 700 shown in Figure 7). The combined electron inspection beam may be accelerated to reduce effects of coulomb interactions, and/or for other reasons. Accelerating 406 the combined electron inspection beam is configured such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. Put another way, the electrons in the combined electron inspection beam may be accelerated off-crest, meaning that the lower energy electrons in a given bunch of electrons receive more energy than the higher energy electrons in the bunch. The result is less variation in energy between the electrons in the bunch. In some embodiments, dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches. [00142] In some embodiments, the acceleration may be performed by an accelerating cavity (e.g., an electron-optical element) and or other components. In some embodiments, speed variation in individual electrons may be introduce by time varying acceleration. In some embodiments, the acceleration is provided either with a cavity or with a DC electrical field provided by an electrode much the same as the extractor in the Schottky source. The acceleration cavity may include and or be formed by a box with an RF field, where the electrons travel through the box. As they are bunched, the electrons in a bunch will get the same “kick” from the electrical field. When the frequency of bunches matches the frequency of the RF field the bunches will be accelerated equally.
[00143] By way of a non-limiting example, Figure 8 also illustrates accelerating 406 combined electron inspection beam 700. Accelerating combined electron inspection beam 700 is performed with an accelerating cavity 868 in this example. Accelerating 406 combined electron inspection beam 700 is configured such that the electrons in combined electron inspection beam 700 having the lower energy (e.g., 858) gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy (e.g., 850) so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. In some embodiments, dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches 870.
[00144] Returning to Figure 4, in some embodiments, method 400 includes correcting 408 a spherical aberration (e.g., Cs in the equation above) of the combined beam. In some embodiments, for example, method 400 includes generating the combined electron inspection beam (e.g., according to the operations described above) with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron inspection beam. In some embodiments, the inspection throughput is a function of correcting the spherical aberration (e.g., as shown in the equation above), such that correcting the spherical aberration further enhances the current (I) and the inspection throughput.
[00145] The spherical aberration may be corrected with a multipole corrector, and/or other devices. The multipole corrector may be a series of lenses, deflectors, magnetic coils, and or other components configured to correct aberrations in the combined electron inspection beam. In some embodiments, the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, at least one stigmator, and or other components.
[00146] For example, Figure 9 illustrates an example of a multipole corrector 901. In the example shown in Figure 9, the multipole corrector comprises a hexapole corrector, but other types of multipole correctors are contemplated. As shown in Figure 9, multipole corrector 901 comprises a condenser lens 900, a beam tilt coil 902, a beam shift coil 904, an adaptor lens 906, hexapole elements 908 and 910, transfer lenses 912, 914, 916, and 918, alignment deflectors 920, 922, 924, 926, and 928, stigmators 930 and 932, and other components. Figure 9 also illustrates a specimen plane 940, a beam 942, an axial ray 944, and a field ray 946 between condenser lens 900 and specimen plane 940. In some embodiments, beam 942 may be similar to and/or the same as combined electron inspection beam 700 shown in prior figures.
[00147] Returning to Figure 4, in some embodiments, method 400 includes performing 410 inspection with the combined electron inspection beam (e.g., 700 shown in Figure 7). Performing 410 inspection with the combined electron inspection beam is configured to enhance inspection throughput. As described above, in some embodiments, the inspection throughput is associated with bright field inspection or multi-beam inspection, for example. In some embodiments, the inspection throughput is associated with a scanning electron microscope, and/or other systems. In some embodiments, operation 410 may be performed for a semiconductor and/or other integrated circuit manufacturing process, for example. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates.
The one or more substrates may be associated with a semiconductor device, and or other integrated circuits, for example.
[00148] For example, Figure 10 illustrates performing 410 an inspection of a sample 1050 with the combined electron inspection beam 700. Figure 10 illustrates an example multibeam inspection system 1052. Multibeam inspection system 1052 may comprise a source 1054, a source multiplier 1056, a first projection system 1058, a second projection system 1060, a detector 1062, and/or other components. Performing 410 inspection with combined electron inspection beam 700 and system 1052 is configured to enhance inspection throughput for inspection of samples 1050. As described above, in some embodiments, the inspection throughput is associated with bright field inspection instead of multi-beam inspection as shown here. In some embodiments, system 1052 may be used for a semiconductor and or other integrated circuit manufacturing process inspection, for example. In some embodiments, the inspection throughput is associated with the semiconductor manufacturing process, and the inspection is performed on one or more substrates such as sample 1050. Samples 1050 may be associated with a semiconductor device, and/or other integrated circuits, for example. It should be noted that Figure 10 is an example only, and is representative of the ability to interface the high brightness electron source described herein with a number of different electron beam systems. [00149] Returning to Figure 4, in some embodiments, operations 404 (e.g., dispersing) and 406 (e.g., accelerating), and/or other operations, may be performed with a combination of electron-optical elements such as additional (e.g., second and third) RF or microwave structures, which are configured to reduce the energy spread of the combined electron beam. In some embodiments, the combination of electron-optical elements comprises second and third RF or microwave structures, for example, separated by a drift space in between. In these embodiments, the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; the drift space is configured to temporally stretch the pulse; and the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
[00150] In some embodiments, signals used to drive the first (e.g., the deflection cavity described above), second, and third RF or microwave structures are synchronized to each other. In some embodiments, to reduce the energy spread of the pulses in the combined beam, the resonance frequencies of the second and third RF or microwave structures (e.g., cavities) may be higher than the resonance frequency of the first (e.g., deflection) RF or microwave structure (e.g., cavity), for example by the number of electron sources times the first cavity resonance frequency. (Note, two frequencies fl and f2 can be synchronized, if fl - f2 = n fl with n being an integer multiple.) The second and third RF or microwave structures may comprise resonant cavities, for example, and or other structures. These may be microwave cavities, for example, and/or other structures. In some embodiments, the signals used to drive the first, second, and/or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
[00151] In accelerator physics, the sinusoidal longitudinal electric field of a microwave cavity in TMoio mode can be used to modulate the energy distribution of an electron beam, which results in a temporal modulation after a drift space. These cavities can be used for acceleration, compression, and monochromation of electron pulses. Higher harmonics can be used to extend the linear part of the sinusoidal electromagnetic fields, increasing the maximum temporal working range of these cavities. In the present systems and methods, the linear part of the microwave fields can be extended by so many higher harmonics that the fields in the cavity approach a perfect sawtooth, stretching over the microwave period of the cavity T = 1/resonance frequency. [00152] In some embodiments, a combined beam may propagate along a positive z-axis (for example), through two of these perfectly linearized phase-locked TMoio cavities (e.g., the second and third RF or microwave structures) with longitudinal focal lengths f and f2 respectively, spaced by a drift space (see Figure 4 between 406 and 408) L = f + f2 (f± may be chosen negatively.) The second (stretching) cavity (RF or microwave structure) may be configured to modulate the energy distribution of the electron beam as function of time into a sawtooth distribution periodic with the microwave period T. Calling the electrons that passed through the same microwave period a ‘pulse’ , although directly behind the cavity, all pulses are still temporally adjacent to each other (and hence the combined beam can be considered continuous).
[00153] (Note that when the microwave sawtooth induces a negative dE/dt correlation in (E,t)-phase space, the electrons in the front of each pulse will experience a negative momentum kick, while the electrons in the back of each pulse will experience a positive momentum kick. This will result in a temporally modulated beam (e.g., a pulsed beam without sacrificing current) in the longitudinal focal point (compression point) of the cavity.)
[00154] Instead, in some embodiments, the (second RF or microwave structure) cavity is configured such that it introduces a positive (periodic) dE/dt correlation to the electrons. During drift, the pulses will stretch in time by a factor |^| and therefore (partly) overlap with temporally adjacent pulses.
Although the beam looks continuous, the word pulses will continue to be used, because the pulses are still separated in longitudinal phase space. As the pulses stretch in time, the uncorrelated energy spread decreases because of conservation of longitudinal emittance.
[00155] The beam then propagates through the third RF or microwave structure, which can be formed by a monochromating cavity, for example. The phase and longitudinal focal point of this cavity are configured such that the cavity field slows down the front of each pulse, and accelerates the back of each pulse, such that all electrons in the pulse have approximately the same energy. In this way, the dE/dt correlation (and the correlated energy spread) is removed and the phase space density collapses onto the t-axis. Because the duration of each pulse has increased, and the longitudinal emittance ( ~AtAE ) of each pulse is conserved, the energy spread of each pulse (and the entire beam) will have decreased by the stretching factor | . To maintain a uniform temporal distribution (a steady current), the stretching factor |^| should be chosen as a positive integer. TMno cavities also have lensing properties in the transverse direction. However, a transverse effect can be corrected using focusing electron optics.
[00156] By way of a non-limiting example, Figure 11 illustrates a longitudinal beam expander 1101, using microwave cavities (e.g., second and third RF or microwave structures, such as TMoio cavities, 1100 and 1102). Cavity 1100 is configured to stretch beam 1104, and cavity 1102 is configured to monochromate beam 1104, as described above. Cavity 1100 and cavity 1102 are separated by a drift space 1106 (having a length L) in between. Beam 1104 enters cavity 1100 with a shorter pulse length and a higher energy spread, and exits cavity 1102 with a longer pulse length and lower energy spread. The transition from shorter pulse length and higher energy spread to longer pulse length and lower energy spread is also shown on the energy (E) versus time (t) graphs 1108, 1110, 1112, 1114, and 1116. For example, graph 1108 (which corresponds to the point at which beam 1104 enters cavity 1100) illustrates a relative short pulse length 1109 and a relatively high energy spread till. By the time beam 1104 exits cavity 1102, pulse length 1109 is relatively long and energy spread till is relatively low (as shown by the overlapping lines in graph 1116). Relating Figure 11 to Figure 4 for ease of understanding, cavity 1100 (e.g., the second RF or microwave structure) performs operation 404, and cavity 1102 (e.g., the third RF or microwave structure) performs operation 406.
[00157] By way of a practical example, the reduction in energy spread is determined by the stretching factor l/J which in practice is determined by the maximum on-axis electric field amplitude in the first cavity and the length of the drift space. The minimum longitudinal focal length of a TMoio cavity is given by:
Figure imgf000028_0001
where e is the elementary charge, E0 is the on-axis electric field amplitude in the cavity, Lc is the effective cavity length, w is the angular resonance frequency of the cavity, me is the electron mass, g is the relativistic Lorentz factor and vz is the velocity of the electrons. Electric field amplitudes of
MV
E0 = 2 — m may be applied, for example, requiring only 43W of microwave power (again, as an example) for an omega-shaped cavity. Extrapolating the microwave power to 1 kW, for example, enables an electric field amplitude of
Figure imgf000028_0002
In combination with Lc = 6 mm, w = 2p X 3 GHz and 30 kV electrons, this results in a minimal focal length of |/| = 6 mm. An inter-cavity drift space of L = 10 cm will then result in
1 0 006 0 10 l-/J1 17.5 X reduction in energy spread. 0 006
An inter-cavity drift space of L = 1 m will result in
1 0 006-11 l-/J1 175 X reduction in energy spread. 0 006 I These examples are not intended to be limiting.
[00158] Figure 12 is a diagram of an example computer system CS that may be used for one or more of the operations described herein. Computer system CS includes a bus BS or other communication mechanism for communicating information, and a processor PRO (or multiple processors) coupled with bus BS for processing information. Computer system CS also includes a main memory MM, such as a random access memory (RAM) or other dynamic storage device, coupled to bus BS for storing information and instructions to be executed by processor PRO. Main memory MM also may be used for storing temporary variables or other intermediate information during execution of instructions by processor PRO. Computer system CS further includes a read only memory (ROM) ROM or other static storage device coupled to bus BS for storing static information and instructions for processor PRO. A storage device SD, such as a magnetic disk or optical disk, is provided and coupled to bus BS for storing information and instructions.
[00159] Computer system CS may be coupled via bus BS to a display DS, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device ID, including alphanumeric and other keys, is coupled to bus BS for communicating information and command selections to processor PRO. Another type of user input device is cursor control CC, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor PRO and for controlling cursor movement on display DS. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
[00160] In some embodiments, portions of one or more methods described herein may be performed by computer system CS in response to processor PRO executing one or more sequences of one or more instructions contained in main memory MM. Such instructions may be read into main memory MM from another computer-readable medium, such as storage device SD. Execution of the sequences of instructions included in main memory MM causes processor PRO to perform the process steps (operations) described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory MM. In some embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
[00161] The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor PRO for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device SD. Volatile media include dynamic memory, such as main memory MM. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus BS. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Computer-readable media can be non-transitory, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge. Non- transitory computer readable media can have instructions recorded thereon. The instructions, when executed by a computer, can implement any of the operations described herein. Transitory computer- readable media can include a carrier wave or other propagating electromagnetic signal, for example. [00162] Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor PRO for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system CS can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus BS can receive the data carried in the infrared signal and place the data on bus BS. Bus BS carries the data to main memory MM, from which processor PRO retrieves and executes the instructions. The instructions received by main memory MM may optionally be stored on storage device SD either before or after execution by processor PRO.
[00163] Computer system CS may also include a communication interface Cl coupled to bus BS. Communication interface Cl provides a two-way data communication coupling to a network link NDL that is connected to a local network LAN. For example, communication interface Cl may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface Cl may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface Cl sends and receives electrical, electromagnetic, or optical signals that carry digital data streams representing various types of information.
[00164] Network link NDL typically provides data communication through one or more networks to other data devices. For example, network link NDL may provide a connection through local network LAN to a host computer HC. This can include data communication services provided through the worldwide packet data communication network, now commonly referred to as the “Internet” INT. Local network LAN (Internet) may use electrical, electromagnetic, or optical signals that carry digital data streams. The signals through the various networks and the signals on network data link NDL and through communication interface Cl, which carry the digital data to and from computer system CS, are exemplary forms of carrier waves transporting the information.
[00165] Computer system CS can send messages and receive data, including program code, through the network(s), network data link NDL, and communication interface CL In the Internet example, host computer HC might transmit a requested code for an application program through Internet INT, network data link NDL, local network LAN, and communication interface CL One such downloaded application may provide all or part of a method described herein, for example. The received code may be executed by processor PRO as it is received, and/or stored in storage device SD, or other non volatile storage for later execution. In this manner, computer system CS may obtain application code in the form of a carrier wave.
[00166] Figure 13 is a schematic diagram of a lithographic projection apparatus, according to an embodiment. The lithographic projection apparatus can include an illumination system IL, a first object table MT, a second object table WT, and a projection system PS. Illumination system IL, can condition a beam B of radiation. In this example, the illumination system also comprises a radiation source SO. First object table (e.g., a patterning device table) MT can be provided with a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS. Second object table (e.g., a substrate table) WT can be provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS. Projection system (e.g., which includes a lens) PS (e.g., a refractive, catoptric or catadioptric optical system) can image an irradiated portion of the patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W. Patterning device MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2, for example.
[00167] As depicted, the apparatus can be of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type, for example (with a reflective patterning device). The apparatus may employ a different kind of patterning device for a classic mask; examples include a programmable mirror array or LCD matrix.
[00168] The source SO (e.g., a mercury lamp or excimer laser, LPP (laser produced plasma) EUV source) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander, or beam delivery system BD (comprising directing mirrors, the beam expander, etc.) for example. The illuminator IL may comprise adjusting means AD for setting the outer and or inner radial extent (commonly referred to as s-outer and s-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
[00169] In some embodiments, source SO may be within the housing of the lithographic projection apparatus (as is often the case when source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus. The radiation beam that it produces may be led into the apparatus (e.g., with the aid of suitable directing mirrors), for example. This latter scenario can be the case when source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing), for example.
[00170] The beam B can subsequently intercept patterning device MA, which is held on a patterning device table MT. Having traversed patterning device MA, the beam B can pass through the lens PL, which focuses beam B onto target portion C of substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. to position different target portions C in the path of beam B. Similarly, the first positioning means can be used to accurately position patterning device MA with respect to the path of beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT can be realized with the aid of a long- stroke module (coarse positioning) and a short-stroke module (fine positioning). However, in the case of a stepper (as opposed to a step-and-scan tool), patterning device table MT may be connected to a short stroke actuator, or may be fixed.
[00171] The depicted tool can be used in two different modes, step mode and scan mode. In step mode, patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one operation (i.e., a single “flash”) onto a target portion C. Substrate table WT can be shifted in the x and/or y directions so that a different target portion C can be irradiated by beam B. In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash.” Instead, patterning device table MT is movable in a given direction (e.g., the “scan direction”, or the “y” direction) with a speed v, so that projection beam B is caused to scan over a patterning device image. Concurrently, substrate table WT is simultaneously moved in the same or opposite direction at a speed V = Mv, in which M is the magnification of the lens (typically, M = 1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution.
[00172] Figure 14 is a schematic diagram of another lithographic projection apparatus (LPA). LPA can include source collector module SO, illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation), support structure MT, substrate table WT, and projection system PS. Support structure (e.g. a patterning device table) MT can be constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device. Substrate table (e.g. a wafer table) WT can be constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate. Projection system (e.g. a reflective projection system) PS can be configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
[00173] As shown in this example, LPA can be of a reflective type (e.g. employing a reflective patterning device). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have multilayer reflectors comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Since most material is absorptive at EUV and x-ray wavelengths, a thin piece of patterned absorbing material on the patterning device topography (e.g., a TaN absorber on top of the multi-layer reflector) defines where features would print (positive resist) or not print (negative resist).
[00174] Illuminator IL can receive an extreme ultra violet radiation beam from source collector module SO. Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium, or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma ("LPP"), the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam. Source collector module SO may be part of an EUV radiation system including a laser (not shown in Figure 10), for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a C02 laser is used to provide the laser beam for fuel excitation. In this example, the laser may not be considered to form part of the lithographic apparatus and the radiation beam can be passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other examples, the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed a DPP source.
[00175] Illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and or inner radial extent (commonly referred to as s- outer and s-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
[00176] The radiation beam B can be incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder, or capacitive sensor), the substrate table WT can be moved accurately (e.g. to position different target portions C in the path of radiation beam B). Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
[00177] The depicted apparatus LPA could be used in at least one of the following modes, step mode, scan mode, and stationary mode. In step mode, the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (e.g., a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In scan mode, the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto target portion C (i.e. a single dynamic exposure). The velocity and direction of substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de)magnification and image reversal characteristics of the projection system PS. In stationary mode, the support structure (e.g. patterning device table) MT is kept essentially stationary holding a programmable patterning device, and substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
[00178] Figure 15 is a detailed view of the lithographic projection apparatus shown in Figure 14. As shown in Figure 15, the LPA can include the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is configured such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO. An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The hot plasma 210 is created by, for example, an electrical discharge causing at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In some embodiments, a plasma of excited tin (Sn) is provided to produce EUV radiation.
[00179] The radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 may include a channel structure. Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 (described below) also includes a channel structure. The collector chamber 211 may include a radiation collector CO which may be a grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF along the optical axis indicated by the line Ό’ . The virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210. [00180] Subsequently, the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT. More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus, for example. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Figure 14.
[00181] Collector optic CO, as illustrated in Figure 15, is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around the optical axis O and a collector optic CO of this type may be used in combination with a discharge produced plasma source, often called a DPP source.
[00182] Figure 16 is a detailed view of source collector module SO of the lithographic projection apparatus LPA (shown in previous figures). Source collector module SO may be part of an LPA radiation system. A laser LA can be arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.
[00183] The embodiments may further be described using the following clauses:
1. A method for enhancing inspection throughput, the method comprising: generating a plurality of pulsed constituent electron beams; and combining the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; wherein the combined electron inspection beam is configured for inspecting a substrate. 2. The method of clause 1, wherein the inspection throughput is a function of the brightness of the combined electron inspection beam, and wherein the greater brightness of the combined electron inspection beam enhances the inspection throughput.
3. The method of clause 1 or 2, wherein the combined electron inspection beam is aligned along a single optical axis.
4. The method of any of clauses 1 to 3, wherein the inspection throughput is associated with a semiconductor manufacturing process, and the substrate is associated with a semiconductor device.
5. The method of any of clauses 1 to 4, wherein pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
6. The method of any of clauses 1 to 5, wherein generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
7. The method of any of clauses 1 to 6, wherein the plurality of pulsed constituent electron beams is combined into the combined electron inspection beam with a deflecting cavity.
8. The method of any of clauses 1 to 7, wherein generating the plurality of pulsed constituent electron beams comprises emitting the plurality of pulsed constituent electron beams by a corresponding plurality of Schottky emitters or cold field emitters.
9. The method of any of clauses 1 to 8, further comprising accelerating the combined electron inspection beam to reduce effects of coulomb interactions.
10. The method of any of clauses 1 to 9, further comprising: dispersing electrons in the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path through a chicane, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path through the chicane; and accelerating the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
11. The method of clause 10, wherein the inspection throughput is an inverse function of the energy spread, and wherein reducing the energy spread further enhances the inspection throughput.
12. The method of clause 10 or 11, wherein dispersing electrons in the combined electron inspection beam by energy level comprises passing the combined electron inspection beam through a chicane comprising a plurality of magnetic deflectors. 13. The method of clause 12, wherein the chicane comprises four magnetic deflectors.
14. The method of any of clauses 10 to 13, wherein the accelerating is performed by an acceleration cavity.
15. The method of any of clauses 10 to 14, wherein dispersing electrons in the combined electron inspection beam by energy level and accelerating the combined electron inspection beam produces monochromatic electron bunches.
16. The method of any of clauses 1 to 15, wherein the inspection throughput is associated with bright field inspection or multi-beam inspection.
17. The method of any of clauses 1 to 16, wherein the inspection throughput is associated with a scanning electron microscope.
18. The method of any of clauses 1 to 17, wherein the method further comprises: generating the combined electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the combined electron inspection beam with a multipole corrector.
19. The method of clause 18, wherein the inspection throughput is a function of correcting the spherical aberration, such that correcting the spherical aberration further enhances the inspection throughput.
20. The method of any of clauses 1 to 19, wherein the plurality of pulsed constituent electron beams comprises at least 10 pulsed constituent electron beams.
21. A method for enhancing inspection throughput, the method comprising: passing an electron inspection beam through a curved path; dispersing the electron inspection beam by energy level as the electron inspection beam traverses the cured path such that electrons in the electron inspection beam having higher energy follow a relatively shorter path, and electrons in the electron inspection beam having lower energy follow a relatively longer path; and accelerating the electron inspection beam such that the electrons in the electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons; wherein the accelerated electron inspection beam is configured for inspecting a substrate.
22. The method of clause 21, wherein the curved path comprises a chicane.
23. A method for enhancing inspection throughput, the method comprising: generating an electron inspection beam with a scanning electron microscope; and correcting a spherical aberration associated with the electron inspection beam with a multipole corrector; wherein the corrected electron inspection beam is configured for inspecting a substrate. 24. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of clauses 1 to 23.
25. An inspection system configured to enhance inspection throughput, the system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual pulsed constituent electron beams; wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
26. The system of clause 25, wherein the electron optical arrangement comprises a deflecting cavity.
27. The system of clause 25 or 26, further comprising a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
28. The system of clause 27, wherein the curved path is a chicane.
29. The system of clause 28, wherein the chicane comprises a plurality of magnetic deflectors.
30. The system of any of clauses 27 to 29, wherein the accelerator comprises an accelerating cavity.
31. The system of any of clauses 25 to 30, further comprising a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam.
32. The system of clause 31, wherein the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
33. The system of any of clauses 25 to 32, wherein pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
34. The system of any of clauses 25 to 33, wherein the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
35. The system of any of clauses 25 to 34, wherein the inspection system is a scanning electron microscope. 36. An inspection system configured to enhance inspection throughput, the system comprising: a curved path configured to disperse a combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
37. The system of clause 36, wherein the curved path is a chicane.
38. The system of clause 37, wherein the chicane comprises a plurality of magnetic deflectors.
39. A scanning electron microscope inspection system configured to enhance inspection throughput, the system comprising: a multipole corrector configured to correct a spherical aberration associated with a combined electron inspection beam, wherein the combined electron inspection beam is configured to be used for inspecting a substrate.
40. The system of clause 39, wherein the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
41. A high brightness electron source system, the system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and an electron optical arrangement configured to combine the plurality of pulsed constituent electron beams into a combined electron inspection beam, the combined electron inspection beam having a greater brightness than each of the individual pulsed constituent electron beams.
42. The system of clause 41, wherein the electron optical arrangement comprises a deflecting cavity.
43. The system of clause 41 or 42, further comprising a curved path configured to disperse the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy follow a relatively shorter path, and electrons in the combined electron inspection beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron inspection beam such that the electrons in the combined electron inspection beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron inspection beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons. 44. The system of clause 43, wherein the curved path is a chicane.
45. The system of clause 44, wherein the chicane comprises a plurality of magnetic deflectors.
46. The system of any of clauses 43 to 45, wherein the accelerator comprises an accelerating cavity.
47. The system of any of clauses 41 to 46, further comprising a multipole corrector configured to correct a spherical aberration associated with the combined electron inspection beam.
48. The system of clause 47, wherein the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
49. The system of any of clauses 41 to 49, wherein pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron inspection beam is formed by a combination of the out of phase pulses of electrons.
50. The system of any of clauses 41 to 49, wherein the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
51. A high brightness electron source system, the system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and a first radiofrequency (RF) or microwave structure configured to combine the plurality of pulsed constituent electron beams into a combined electron beam aligned along a single optical axis, the combined electron beam having a greater brightness than each of the individual pulsed constituent electron beams.
52. The system of clause 51, wherein the first RF or microwave structure comprises a deflection cavity.
53. The system of clause 51 or 52, further comprising: a combination of electron-optical elements configured to reduce the energy spread of the electron beam.
54. The system of any of clauses 51-53, wherein the combination of electron-optical elements comprises second and third RF or microwave structures, separated by a drift space in between, wherein: the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; the drift space is configured to temporally stretch the pulse; the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse; and signals used to drive the first, second, and third RF or microwave structures are synchronized to each other. 55. The system of any of clauses 51-54, wherein the signals used to drive the first, second, and/or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
56. The system of any of clauses 51-55, wherein the second and third RF or microwave structures comprise resonant cavities.
57. The system of any of clauses 51-53, wherein the combination of electron-optical elements comprises a curved path configured to disperse the combined electron beam by energy level such that electrons in the combined electron beam having higher energy follow a relatively shorter path, and electrons in the combined electron beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron beam such that the electrons in the combined electron beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
58. The system of clause 57, wherein the curved path is a chicane.
59. The system of clause 58, wherein the chicane comprises a plurality of magnetic deflectors.
60. The system of any of clauses 51-59, further comprising a multipole corrector configured to correct a spherical aberration associated with the combined electron beam.
61. The system of clause 60, wherein the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
62. The system of any of clauses 51-61, wherein pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron beam is formed by a combination of the out of phase pulses of electrons.
63. The system of any of clauses 51-62, wherein the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
64. An inspection system configured to enhance inspection throughput, wherein the system comprises the high brightness low energy spread pulsed electron source system according to any of clauses 51-63.
65. A method for enhancing inspection throughput, the method comprising: generating a plurality of pulsed constituent electron beams; combining the plurality of pulsed constituent electron beams into a combined electron inspection beam with a deflection cavity, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; dispersing the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy lead electrons in the combined electron inspection beam having lower energy; and reducing an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured for inspecting a substrate.
66. The method of clause 65, wherein the inspection throughput is a function of the brightness of the combined electron inspection beam, and wherein the greater brightness of the combined electron inspection beam enhances the inspection throughput.
67. The method of any of clauses 65-66, wherein the combined electron inspection beam is aligned along a single optical axis.
68. The method of any of clauses 65-67, wherein generating the plurality of pulsed constituent electron beams comprises: emitting a plurality of continuous beams of electrons; and causing energy spread in individual beams of the plurality of continuous beams to create pulses of electrons of similar energies in the individual beams to form the plurality of pulsed constituent electron beams.
69. A method for reducing the energy spread of a pulsed electron beam, by increasing an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; temporally stretching the pulse using a drift space; and monochromating the pulse by decelerating the front of the pulse and accelerating the back of the pulse.
70. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of clauses 65 to 69.
[00184] The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies include EUV (extreme ultra violet), DUV lithography that is capable of producing a 193nm wavelength with the use of an ArF laser, and even a 157nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-50nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
[00185] While the concepts disclosed herein may be used for wafer manufacturing on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of manufacturing system (e.g., those used for manufacturing on substrates other than silicon wafers). In addition, the combination and sub-combinations of disclosed elements may comprise separate embodiments. For example, separate embodiments of the method described herein may include one or more of the beam generation components, the beam combining components, the chicane, the acceleration components, the corrector components, and/or other components. As another example, any or all of these components may be combined in a single embodiment. [00186] The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made as described without departing from the scope of the claims set out below.

Claims

1. A high brightness electron source system, the system comprising: a plurality of emitters configured to generate a corresponding plurality of pulsed constituent electron beams; and a first radiofrequency (RF) or microwave structure configured to combine the plurality of pulsed constituent electron beams into a combined electron beam aligned along a single optical axis, the combined electron beam having a greater brightness than each of the individual pulsed constituent electron beams.
2. The system of claim 1, wherein the first RF or microwave structure comprises a deflection cavity.
3. The system of claim 1, further comprising: a combination of electron-optical elements configured to reduce an energy spread of the electron beam.
4. The system of claim 3, wherein the combination of electron-optical elements comprises second and third RF or microwave structures, separated by a drift space in between, wherein: the second RF or microwave structure is configured to increase an energy spread of an incoming electron pulse by accelerating a front of the pulse and decelerating a back of the pulse; the drift space is configured to temporally stretch the pulse; the third RF or microwave structure is configured to monochromate the pulse by decelerating the front of the pulse and accelerating the back of the pulse; and signals used to drive the first, second, and third RF or microwave structures are synchronized to each other.
5. The system of claim 4, wherein the signals used to drive the first, second, and/or third RF or microwave structures comprise microwave signals having multiple higher harmonics of the same microwave frequency, such that a normally sinusoidal electromagnetic field inside an individual RF or microwave structure is linearized with time, approaching a sawtooth distribution.
6. The system of claim 4, wherein the second and third RF or microwave structures comprise resonant cavities.
7. The system of claim 3, wherein the combination of electron-optical elements comprises a curved path configured to disperse the combined electron beam by energy level such that electrons in the combined electron beam having higher energy follow a relatively shorter path, and electrons in the combined electron beam having lower energy follow a relatively longer path; and an accelerator configured to accelerate the combined electron beam such that the electrons in the combined electron beam having the lower energy gain more energy from the accelerating than the electrons in the combined electron beam having the higher energy so as to reduce an energy spread between the lower energy electrons and the higher energy electrons.
8. The system of claim 7, wherein the curved path is a chicane.
9. The system of claim 8, wherein the chicane comprises a plurality of magnetic deflectors.
10. The system of claim 1, further comprising a multipole corrector configured to correct a spherical aberration associated with the combined electron beam.
11. The system of claim 10, wherein the multipole corrector comprises at least one transfer lens, at least one adaptor lens, at least one alignment deflector, a beam tilt coil, a beam shift coil, and at least one stigmator.
12. The system of claim 1, wherein pulses of electrons in different ones of the plurality of pulsed constituent electron beams are out of phase with each other such that the combined electron beam is formed by a combination of the out of phase pulses of electrons.
13. The system of claim 1, wherein the plurality of emitters comprises a plurality of Schottky emitters or cold field emitters.
14. An inspection system configured to enhance inspection throughput, wherein the system comprises the high brightness electron source system according to claim 1.
15. A method for enhancing inspection throughput, the method comprising: generating a plurality of pulsed constituent electron beams; combining the plurality of pulsed constituent electron beams into a combined electron inspection beam with a deflection cavity, the combined electron inspection beam having a greater brightness than each of the individual constituent pulsed electron beams; dispersing the combined electron inspection beam by energy level such that electrons in the combined electron inspection beam having higher energy lead electrons in the combined electron inspection beam having lower energy; and reducing an energy spread between the lower energy electrons and the higher energy electrons; wherein the combined electron inspection beam is configured for inspecting a substrate.
PCT/EP2020/087432 2020-01-07 2020-12-21 High brightness low energy spread pulsed electron source WO2021140020A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP20150403.2 2020-01-07
EP20150403.2A EP3848953A1 (en) 2020-01-07 2020-01-07 High brightness electron source
EP20212120 2020-12-07
EP20212120.8 2020-12-07

Publications (1)

Publication Number Publication Date
WO2021140020A2 true WO2021140020A2 (en) 2021-07-15

Family

ID=74068278

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/087432 WO2021140020A2 (en) 2020-01-07 2020-12-21 High brightness low energy spread pulsed electron source

Country Status (2)

Country Link
TW (1) TW202143277A (en)
WO (1) WO2021140020A2 (en)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction

Also Published As

Publication number Publication date
TW202143277A (en) 2021-11-16

Similar Documents

Publication Publication Date Title
US20230013919A1 (en) Machine learning based inverse optical proximity correction and process model calibration
CN114096917B (en) Prediction data selection for model calibration to reduce model prediction uncertainty
US11435671B2 (en) SEM FOV fingerprint in stochastic EPE and placement measurements in large FOV SEM devices
US11789371B2 (en) Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
TW201437736A (en) A lithography model for three-dimensional patterning device
KR102189871B1 (en) Displacement based overlay or alignment
US11422473B2 (en) Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
EP3848953A1 (en) High brightness electron source
WO2021140020A2 (en) High brightness low energy spread pulsed electron source
TWI794544B (en) Method for high numerical aperture thru-slit source mask optimization
KR102314622B1 (en) Methods of adjusting process models
EP4148499A1 (en) Patterning device defect detection systems and methods
CN110121681B (en) Method for guiding process model and checking in manufacturing process
WO2023131570A1 (en) Software, methods, and systems for determination of a local focus point
WO2024088666A1 (en) Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024068308A1 (en) Systems for path compensation with a moving objective
WO2023036539A1 (en) Patterning parameter determination using a charged particle inspection system

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20829613

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20829613

Country of ref document: EP

Kind code of ref document: A2