WO2020028028A1 - Method of selective silicon germanium epitaxy at low temperatures - Google Patents

Method of selective silicon germanium epitaxy at low temperatures Download PDF

Info

Publication number
WO2020028028A1
WO2020028028A1 PCT/US2019/041895 US2019041895W WO2020028028A1 WO 2020028028 A1 WO2020028028 A1 WO 2020028028A1 US 2019041895 W US2019041895 W US 2019041895W WO 2020028028 A1 WO2020028028 A1 WO 2020028028A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
silicon
source gas
seem
gas
Prior art date
Application number
PCT/US2019/041895
Other languages
French (fr)
Inventor
Yi-Chiau Huang
Hua Chung
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP19843773.3A priority Critical patent/EP3830860A4/en
Priority to KR1020217006038A priority patent/KR102501287B1/en
Publication of WO2020028028A1 publication Critical patent/WO2020028028A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]

Definitions

  • Embodiments of the present disclosure generally relate to a semiconductor manufacturing processes and semiconductor devices, and more particularly to methods of depositing silicon-germanium containing films used to form, or forming, semiconductor devices.
  • Selective SiGe-epitaxial deposition permits the deposition of epitaxial layers on exposed silicon (Si) or other semiconductor regions of a substrate, also known as growth or growing of the layers, with no net SiGe growth on exposed dielectric areas of the substrate.
  • Selective epitaxy can be used in the fabrication of semiconductor device structures, such as for forming desired layers in elevated source/drains, source/drain extensions, contact plugs, and base layers of bipolar devices.
  • a selective epitaxy process involves two operations: a deposition operation and an etch operation. The deposition and etch operations occur simultaneously, with relatively different reaction rates, and thus deposition rates, on semiconductor and on dielectric surfaces.
  • a selective process window for the deposition etch regime of selective SiGe growth results in cumulative deposition only on semiconductor surfaces, which can be tuned by changing the concentration of an etchant gas used to remove the deposited material from the exposed surfaces of the substrate.
  • Selective silicon germanium epitaxy by chemical vapor deposition typically employs precursors that contain one Si or Ge atoms, such as silane, dichlorosilane, or germane.
  • the cumulative or net deposition of SiGe on Si or other semiconductor regions over dielectrics, known as selectivity to Si is achieved by co-flowing an etchant such as hydrogen chloride along with the deposition precursor(s) used to deposit or grow the SiGe on exposed semiconductor on the substrate.
  • an etchant such as hydrogen chloride
  • the temperature of the substrate is increased to, and/or maintained at, temperatures above 500°C.
  • epitaxial growth of silicon germanium diminishes and deposition or growth selectivity for Si over dielectric materials drastically decreases.
  • a method of depositing a silicon germanium material on a substrate includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • a method of depositing a silicon germanium material on a substrate which includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
  • a method of depositing a silicon germanium material on a substrate which includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I-I2CI2, GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I
  • a non-transitory computer readable medium includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • Figure 1A is a flow chart illustrating a method of forming an epitaxial layer according to some embodiments.
  • Figure 1 B is a flow chart illustrating a method of forming an epitaxial layer according to some embodiments.
  • Figure 2 shows a fin field effect transistor (FinFET) device with an epitaxially deposited silicon-containing layer according to some embodiments.
  • FinFET fin field effect transistor
  • Figure 3A shows an illustration of a source/drain extension device within a traditional metal-oxide-semiconductor field effect transistor (MOSFET).
  • MOSFET metal-oxide-semiconductor field effect transistor
  • Figure 3B shows an illustration of a source/drain extension device within a FinFET.
  • Figure 4 is a cross-sectional view of a thermal processing chamber that may be used to perform epitaxial processes.
  • Embodiments described herein illustrate a process to epitaxially deposit silicon containing compounds during the manufacture of various device structures.
  • the processes described herein allow for selective and epitaxial growth or deposition of a silicon germanium film layer on exposed crystalline silicon containing regions of a substrate and not on exposed dielectric regions of the substrate, at low substrate temperatures (e.g., about 450°C or less), with almost complete selectivity for the exposed crystalline silicon over the exposed dielectric of the substrate, when performed at temperatures of about 400°C, such as about 350°C or less.
  • the processes herein advantageously provide boron concentrations in the grown or deposited silicon containing compound of about 1x10 15 or greater, such as about 1x10 21 or greater, such as about 5x10 21 .
  • the dopant, such as boron advantageously allows growth or deposition of epitaxial silicon germanium materials at low temperatures.
  • the lower temperature processes advantageously enable lower thermal budgets for the process and thereby reduce negative thermal effects on the devices being formed.
  • Embodiments of the present disclosure include processes to selectively grow or deposit films of epitaxial silicon-containing compounds.
  • the silicon- containing compounds grow on exposed regions of crystalline silicon containing regions of a substrate, and not on exposed regions of dielectric materials on the substrate.
  • Selective silicon containing film growth or deposition may be performed when the substrate surface has exposed thereat more than one material, such as exposed single crystalline silicon surface areas, and features that are covered with dielectric materials such as with SiO and SiN layers.
  • Epitaxial growth or deposition selective to the crystalline silicon surface, while leaving the dielectric features or structures uncoated by the epitaxial deposition material, is achieved using an etchant (e.g., HCI) during deposition.
  • HCI e.g., HCI
  • the deposition material forms a crystalline layer on the exposed single crystal silicon, and a polycrystalline or amorphous layer on the exposed dielectric surfaces.
  • the etchant removes the amorphous or polycrystalline film grown or deposited on the amorphous or polycrystalline features faster than it can remove the epitaxial crystalline film grown or deposited on the exposed crystalline material of the substrate (or the silicon germanium material never grows on the surface of the dielectric material of the substrate), and thus selective epitaxial net growth or deposition of the silicon containing compound on the exposed crystalline material of the substrate is achieved.
  • Processes disclosed herein may be performed on various substrates such as semiconductor wafers, such as crystalline and single crystalline silicon (e.g., Si ⁇ 100> and Si ⁇ 111 >), silicon germanium, doped or undoped silicon or germanium substrates, silicon on insulator (SOI) substrates, lll-V group materials, and patterned or non- patterned substrates, having a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD, 400 mm OD).
  • substrates include these materials, as well as films, layers and materials with dielectric, conductive and barrier properties and include polysilicon.
  • silicon compounds and silicon-containing compounds refer to materials, layers, and/or films and include Si, SiGe, doped variants thereof, and combinations thereof which are selectively and epitaxially grown during the processes described herein.
  • the silicon compounds and silicon-containing compounds include strained, unstrained, or strained and unstrained layers within the films.
  • FIG. 1A is a flow chart illustrating a method 100 of forming a selective epitaxial layer on selected surfaces of a substrate according to an embodiment.
  • the epitaxial layer is, for example, a silicon germanium film.
  • the method 100 includes positioning a substrate within a substrate processing chamber at operation 105.
  • the method 100 further includes heating the substrate to, maintaining the substrate at, or heating the substrate to and maintaining it at a temperature of 450°C or less, such as 400°C or less, such as 350°C or less, or such as 300°C or less at operation 110.
  • the substrate may be maintained at a temperature between about 250°C and about 450°C, or such as between about 270°C and about 450°C during the deposition or growth of the Si containing compound.
  • the method 100 further includes exposing the heated substrate to a process gas comprising a silicon source gas, a germanium source gas, an etchant, a carrier gas, and at least one dopant source gas at operation 1 15.
  • the method 100 further includes epitaxially and selectively growing or depositing a silicon germanium material on the crystalline silicon surface while the dielectric features or structures remain uncoated by the silicon germanium material at the end of operation 120.
  • Carrier gases are used to transport the silicon source gas(es), germanium source gas(es), dopant source gas(es), and etchant sources gas(es) during the processes described herein.
  • Carrier gases include H2, Ar, N2, He, and combinations thereof.
  • H2 is used as a carrier gas.
  • N2 is used as a carrier gas.
  • Carrier gases may be combined in various ratios during some embodiments of the process.
  • Etchants in gas form are employed to remove Si containing material film grown on the exposed dielectric materials which may form on the exposed dielectric materials of the substrate in an amorphous or polycrystalline form faster than it can remove the Si containing material grown or deposited on the exposed crystalline silicon in crystalline form, for example on a single crystal silicon material, of the substrate.
  • Etchants useful for such purposes during processes described herein include HCI, HF, HBr, BG2, S 12C IQ , SiCU, SiHCh, S1H2CI2, CCU, CI2, GeCU, GeHCh, and combinations thereof.
  • Silicon source gas(es) or precursors that are useful for the selective epitaxy processes described herein include silane (SiH 4 ), higher order silanes, halogenated silanes, and organosilanes.
  • Higher order silanes include compounds with an empirical formula SixH(2x +2) , such as disilane (S12H6), trisilane (ShHs), and tetrasilane (SUH10).
  • R methyl, ethyl, propyl or butyl, such as methylsilane ((CH3)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2Hs), dimethyldisilane ((CH3)2Si2H 4 ) and
  • Germanium source gas(es) or precursors that are useful for the selective epitaxy processes described herein include germanes (e.g., GeH 4 ), higher order germanes, halogenated germanes, and organogermanes.
  • Higher order germanes include compounds with an empirical formula Ge x H(2x+2), such as digermane (Ge2H6), trigermane (GesHs), and tetragermane (Ge 4 Hio).
  • Halogenated germanes include GeCU (germanium tetrachloride) and GeHCh (trichlorogermane).
  • R methyl, ethyl, propyl or butyl, such as methylgermane ((CH3)GeH3), dimethylgermane ((CH3)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CH3)2Ge2H 4 ) and
  • the deposited film layers of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristics thereof.
  • the silicon-containing compound is doped p-type, such as by flowing diborane into the deposition chamber at a requisite ratio to the deposition precursor gas to add boron at a concentration of about 1x10 15 atoms/cm 3 or more, such as about 1x10 19 atoms/cm 3 or more, such as at about 5x10 21 atoms/cm 3 into the deposited film layer.
  • the silicon-containing compound is doped p-type, such as by flowing diborane during the deposition of the silicon containing compound to add boron therein at a concentration in the range of from about 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 20 atoms/cm 3 to about 2.5x10 21 atoms/cm 3 ).
  • the silicon-containing compound is doped n-type, by flowing a phosphorus source gas into the deposition chamber to achieve a P concentration in the deposited film layer of 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 2 ° atoms/cm 3 to about 2.5x10 21 atoms/cm 3 ).
  • Dopants used herein include boron containing dopants and phosphorus containing dopants.
  • Boron containing dopant source gases include boranes, organoboranes (e.g., alkylboranes), and boron halides.
  • Alkylboranes include trimethylborane ((CH3)3B), dimethylborane ((CH3)2BH), triethylborane ((CFtaCFte ⁇ B) and diethylborane ((CH3CH2)2BH).
  • Boron halides include electron deficient boron halides such as boron trifluoride (BF3), boron trichloride (BCh), and boron tribromide (BBr3),
  • Alkylphosphines include trimethylphosphine ((CH3)3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CFtaCFte ⁇ P), and diethylphosphine ((CH3CH2)2PH).
  • a silicon containing material is epitaxially and selectively grown to form a doped SiGe material on the exposed monocrystalline silicon surface of a substrate, but not on the exposed dielectric materials of the substrate.
  • the doped SiGe material forms selectively on the elevated source/drains, source/drain extensions, contact plugs, and base layers of bipolar devices which comprise monocrystalline silicon.
  • the monocrystalline surface can be, for example, a silicon containing single crystal or a silicon germanium single crystal.
  • a substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the substrate processing chamber.
  • a silicon source gas e.g., silane
  • a carrier gas e.g., H2 and/or N2
  • a germanium source gas e.g., GeFI 4
  • a dopant source gas e.g., B2FI6
  • an etchant e.g., HCI
  • the flow rate of the silicon source gas is in the range of from about 5 seem to about 500 seem, such as from about 10 seem to about 100 seem, such as from about 20 seem to about 50 seem.
  • the flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem, such as from about 10,000 seem to about 20,000 seem, such as from about 12,000 seem to about 15,000 seem.
  • the flow rate of the germanium source gas is in the range of from about 0.1 seem to about 100 seem, such as from about 0.1 seem to about 10 seem or from about 0.5 seem to about 20 seem, such as from about 0.5 seem to about 2 seem, for example about 1 seem.
  • the flow rate of the dopant source gas is from about 0.01 seem to about 3 seem, such as from about 0.1 seem to about 2 seem, for example about 0.5 seem to about 1 seem.
  • the flow rate of the etchant gas is in the range of from about 5 seem to about 1 ,000 seem, such as from about 10 seem to about 50 seem, for example about 20 seem to about 40 seem.
  • the substrate processing chamber is maintained at a pressure of from about 0.1 Torr to about 200 Torr, such as from about 5 Torr to about 20 Torr, for example from about from about 10 Torr to about 15 Torr.
  • the substrate is maintained at a temperature of about 450°C or less, such as about 400°C or less, such as about 350°C or less, such as about 300°C or less.
  • the substrate may be maintained at (or heated to and maintained at) a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C.
  • the reaction of the source gas mixture is thermally driven, and it reacts at the heated substrate surface to epitaxially deposit a silicon material, namely a silicon germanium material on the crystalline silicon surface of the substrate and it is believed on the amorphous or polycrystalline silicon based dielectric features of the substrate.
  • the etchant e.g., HCI
  • the deposition or growth process is thus performed to selectively form a doped SiGe material on the exposed crystalline silicon surface having a thickness in a range from about 20 A to about 3,000 A (such as from about 50 A to about 1000 A, for example from about 50 A to about 100 A) at a deposition rate of between about 5 A/min and about 600 A/min (such as from about 5 A/min to about 50 A/min, for example from about 10 A/min to about 30 A/min).
  • the germanium concentration of the deposited SiGe material is in the range from about 1 atomic percent to about 100 atomic percent material (such as from about 10 atomic percent to about 100 atomic percent, such as from about 10 atomic percent to about 90 atomic percent, such as from about 40 atomic percent to about 70 atomic percent, for example about 60 atomic percent).
  • the boron concentration of the deposited SiGe material is in the range of from about 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 2 ° atoms/cm 3 to about 2.5x10 21 atoms/cm 3 ).
  • the resistivity of the epitaxially grown B-doped SiGe layer is about 0.3 mQ*cm or less (such as between about 0.2 mQ*cm and about 0.3 mQ*cm, for example, about 0.25 mQ*cm or less).
  • Figure 1 B is a flow chart illustrating a method 150 of selectively forming an epitaxial layer on portions of a substrate according to an embodiment.
  • the epitaxial layer is, for example, a silicon germanium film.
  • the method 150 includes positioning a substrate within a substrate processing chamber at operation 155.
  • the method 150 further includes maintaining (and/or heating) the substrate at a temperature of 450°C or less, such as 400°C or less, such as 350°C or less, such as 300°C or less at operation 160.
  • the substrate may be maintained (or heated) at a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C.
  • the method 150 further includes exposing the substrate to a first process gas comprising: a first silicon source gas, a first germanium source gas, an a first etchant gas, a first carrier gas, and at least one first dopant source gas at operation 165.
  • the method 150 further includes epitaxially and selectively depositing a first silicon germanium material on portions of the substrate at operation 170.
  • Operations 155, 160, 165, and 170 are the same as operations 105, 1 10, 1 15, and 120 of method 100.
  • the method 150 further includes exposing the substrate to a second process gas comprising: a second silicon source gas, a second germanium source gas, a second etchant gas, and a second carrier gas, and optionally a second dopant source gas at operation 175.
  • the method 150 further includes epitaxially and selectively depositing a second silicon germanium material on portions of the substrate at operation 180.
  • a second silicon compound is epitaxially grown as a SiGe material using a second silicon source gas (e.g., dichlorosilane, CteSiFte) subsequent to depositing any of the silicon compounds as described above.
  • a second silicon source gas e.g., dichlorosilane, CteSiFte
  • a first silicon germanium material is deposited or grown by, e.g., the example of method 100 described above.
  • a second silicon source gas (e.g., dichlorosilane) is flowed concurrently into the substrate processing chamber with a second carrier gas (e.g., H2 and/or N2), a second germanium source gas (e.g., GeFI 4 ), a second dopant source gas (e.g., B2FI6) and a second etchant gas (e.g., HCI).
  • the flow rate of dichlorosilane is in the range of from about 5 seem to about 500 seem, such as from about 10 seem to about 100 seem, such as from about 20 seem to about 50 seem.
  • the flow rate of the second carrier gas is from about 1 ,000 seem to about 60,000 seem, such as from about 10,000 seem to about 20,000 seem, such as from about 12,000 seem to about 15,000 seem.
  • the flow rate of the second germanium source gas is in the range of from about 0.1 seem to about 100 seem, such as from about 0.1 seem to about 10 seem or from about 0.5 seem to about 20 seem, such as from about 0.5 seem to about 2 seem, for example about 1 seem.
  • the flow rate of the second dopant source gas is from about 0.01 seem to about 3 seem, such as from about 0.1 seem to about 2 seem, for example about 0.5 seem to about 1 seem.
  • the flow rate of the second etchant gas is in the range of from about 5 seem to about 1 ,000 seem, such as from about 10 seem to about 50 seem, for example about 20 seem to about 40 seem.
  • the substrate processing chamber is maintained at a pressure of from about 0.1 Torr to about 200 Torr, such as from about 5 Torr to about 20 Torr, for example from about from about 10 Torr to about 15 Torr.
  • the substrate is maintained at a temperature of about 450°C or less, such as about 400°C or less, such as about 350°C or less, such as about 300°C or less.
  • the substrate may be maintained at (or heated to and maintained at) a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C.
  • the reaction of the second source gas mixture is thermally driven, and it reacts at the heated substrate surface to epitaxially deposit a second silicon material, namely a second silicon germanium material, on the first SiGe material of the substrate and the dielectric features of the substrate.
  • the second etchant etches the SiGe compounds from the amorphous or polycrystalline dielectric features on the surface of the substrate, but does not significantly etch the epitaxial layer formed on the surface of the first SiGe material.
  • the process is performed to selectively form a second SiGe material on the surface of the first SiGe material with a thickness in a range from about 20 A to about 3,000 A (such as from about 50 A to about 1000 A, for example from about 50 A to about 100 A) at a deposition rate of between about 5 A/m in and about 600 A/m in (such as from about 5 A/min to about 50 A/min, for example from about 10 A/min to about 30 A/min).
  • the germanium concentration of the deposited SiGe material is in the range from about 1 atomic percent to about 100 atomic percent material (such as from about 10 atomic percent to about 100 atomic percent, such as from about 10 atomic percent to about 90 atomic percent, such as from about 40 atomic percent to about 70 atomic percent, for example about 60 atomic percent).
  • a third silicon containing layer is deposited using any of the processes discussed above.
  • the substrate surface is exposed to ambient conditions, such as air which includes oxygen and/or water vapor therein, between process operations.
  • the ambient exposure generally occurs while moving substrates between multiple process chambers during the fabrication of devices.
  • a first silicon- containing layer is deposited onto the substrate surface, the substrate is exposed to ambient conditions, and subsequently, a second silicon-containing layer is deposited onto the substrate surface.
  • a cap layer is deposited on the first silicon- containing layer before the exposure of the layer to the ambient conditions.
  • the cap layer may be semiconductor material, such as silicon.
  • a silicon- germanium layer is deposited on the substrate surface, a silicon cap layer is deposited on the just grown or deposited silicon-germanium layer, the substrate is exposed to ambient conditions, and subsequently a second-silicon containing layer is deposited on the silicon cap layer.
  • the Si cap layer may be placed on top of a B-doped SiGe layer to protect the B-doped SiGe layer from oxidation.
  • the Si cap surface can be removed by oxidation thereof to form a silicon oxide, followed by selective etching of it, if it needs to be removed before the next epi layer is selectively formed.
  • the silicon containing material includes a germanium concentration within the range from about 0 atomic percent to about 95 atomic percent. In other embodiments, a germanium concentration is within the range from about 1 atomic percent to about 30 atomic percent, such as from about 10 atomic percent to about 25 atomic percent, such as at about 20 atomic percent.
  • ratios of the silicon source gas and the germanium source gas can be varied in order to provide control of the elemental concentrations of the silicon, germanium, and dopant while growing graded films.
  • FIG. 2 shows a perspective view of a FinFET semiconductor structure 250, the features of which may be epitaxially grown with the silicon containing compound according to one of the embodiments described herein.
  • the semiconductor structure 250 may include a substrate 251 , a plurality of fins 252 (only two are shown, but the structure may have more than two fins), a dielectric material 254 disposed between adjacent fins 252 on the substrate 251 , and a gate electrode 260 disposed on the dielectric material 254 and over a portion of each fin 252.
  • the substrate 251 may be a bulk silicon substrate, and may be doped with a p-type or an n-type impurity.
  • the plurality of fins 252 may be fabricated from the same material as the substrate 251.
  • the dielectric material 254 may form isolation regions, such as shallow trench isolation (STI) regions, and may be fabricated from silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, or any other suitable dielectric material. As shown in Figure 2, each of the plurality of fins 252 extends a distance above the upper surface of the dielectric material 254.
  • a gate dielectric 258 is formed between the gate electrode 260 and the plurality of fins 252.
  • the gate dielectric 258 facilitates electrical isolation between the gate electrode 260 and the plurality of fins 252.
  • the gate dielectric 258 may be fabricated from silicon nitride, silicon oxide, hafnium oxide, hafnium silicon oxynitride, hafnium silicate, hafnium silicon oxide, or any other suitable gate dielectric material.
  • the gate electrode 260 may be fabricated from polysilicon, amorphous silicon, germanium, silicon germanium, metals, or metal alloys.
  • Figure 3A depicts the cross section for a traditional planar MOSFET according to some embodiments.
  • a portion of the substrate or of the fin is etched away followed by wet- cleaning of the substrate, to produce a recess 332 within which the silicon-containing compound is deposited epitaxially according to the processes described herein and for use as the source/drain.
  • the silicon-containing compound epitaxially grows to mimic the crystal lattice of the exposed substrate or fin surface and maintains this arrangement as the silicon-containing compound grows with thickness.
  • the dummy gate 336 is eventually replaced with the actual metal gate electrode.
  • the epitaxial silicon compound layer 332 is selectively deposited within the source/drain region according to embodiments described herein. Selective silicon containing film growth may be performed when the substrate surface 330 has exposed thereat more than one material, such as exposed single crystalline silicon surface areas, and features that are covered with dielectric materials such as with SiO and SiN layers.
  • the silicon compound layer 332 is composed of, for example, doped SiGe containing layers located to either side of the gate in the device depicted by Figure 3 and having a germanium concentration of, for example, about 1 atomic percent to about 30 atomic percent, such as at about 20 atomic percent, and a dopant (e.g., B or P) concentration of, for example, 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 2 ° atoms/cm 3 to about 2.5x10 21 atoms/cm 3 .
  • a dopant e.g., B or P
  • B-doped SiGe layer 340 can, using the processes described herein, be formed on top of an existing B-doped SiGe source/drain 332 at a low temperature on the order of below about 450°C, to form a contact layer.
  • This contact layer reduces the Schottky barrier between B-doped SiGe source/drain and the metal electrode, and provides lower contact resistivity.
  • the existing B-doped SiGe source/drain can be made by the same processes described herein, or by other methods, such as higher-temperature epitaxy (e.g., temperatures greater than about 500°C, such as between about 600°C and about 700°C).
  • Figure 3B depicts the cross section for a FinFET 350 according to some embodiments.
  • An epitaxial silicon compound layer 366 is deposited on the surface 352 of each fin 354 and extending over an upper surface 351 of the dielectric material 254 (the dielectric material 254 is also shown in Figure 2).
  • the silicon compound layer 366 may be also deposited on the surface 362 of the dielectric material 358, and an etch back process may be performed to remove the silicon compound layer 366 deposited on the surface 362 of the dielectric material 358.
  • the silicon compound layer 366 may be the source or drain of a FinFET device and may be a silicon and/or germanium based material.
  • the silicon compound layer 366 may be formed by an epitaxial deposition process described herein in an epitaxial deposition chamber available from Applied Materials, Inc.
  • the silicon compound layer 366 is silicon doped with phosphorus and the FinFET device is an n-type FET.
  • the silicon compound layer 366 is silicon germanium doped with boron or gallium, and the FinFET device is a p-type FET.
  • Each silicon compound layer 366 has a surface 363 that is recessed from the surface 362 of the dielectric material 358.
  • the epitaxial silicon compound layer 366 is selectively deposited within the source/drain region according to embodiments described herein.
  • the silicon compound layer 366 is composed of, for example, doped SiGe containing layers located to either side of the gate in the device depicted by Figure 3B and having a germanium concentration of, for example, about 1 atomic percent to about 30 atomic percent, such as at about 20 atomic percent, and a dopant (e.g., B or P) concentration of, for example, 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 2 ° atoms/cm 3 to about 2.5x10 21
  • a metal layer can be deposited over the features of the substrate (e.g., a silicon containing single crystal surface, such as the source and drain regions of the substrate) and the substrate and layers formed thereon is thereafter annealed.
  • the metal layer includes cobalt, nickel or titanium, among other metals.
  • the silicon compound layer is converted to metal silicide layers.
  • a metal e.g., cobalt
  • the resulting metal silicide layer is cobalt silicide.
  • the processes described herein can be used to deposit silicon compound films used for Bipolar (e.g., base, emitter, collector, emitter contact), BiCMOS (e.g., base, emitter, collector, emitter contact) and traditional planar or FinFET CMOS (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug).
  • Bipolar e.g., base, emitter, collector, emitter contact
  • traditional planar or FinFET CMOS e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug.
  • Other embodiments of processes teach the growth of silicon films that can be used as gate, base contact, collector contact, emitter contact, elevated sources/drains, and other uses.
  • Other devices include field effect transistors (FET).
  • silicon containing compounds are grown or deposited by chemical vapor deposition (CVD) processes, wherein CVD processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes.
  • Chemical vapor deposition includes the use of many techniques, such as plasma-assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD), hot-wire CVD (HWCVD), reduced-pressure CVD (RP-CVD), and ultra-high vacuum CVD (UHV-CVD).
  • PA-CVD plasma-assisted CVD
  • ACVD atomic layer CVD
  • OMCVD or MOCVD organometallic or metalorganic CVD
  • LA-CVD laser-assisted CVD
  • UV-CVD ultraviolet CVD
  • HWCVD hot-wire CVD
  • RP-CVD reduced-pressure
  • the processes of the present disclosure can be carried out in equipment known in the art of ALE, CVD and ALD processing.
  • the apparatus brings the source gas(es) into contact with a substrate on which the silicon-containing compounds are grown.
  • An exemplary epitaxy chamber that may be used to grow the silicon containing compounds described herein is a Centura ® RP EPI chamber available from Applied Materials, Inc., of Santa Clara, California.
  • One exemplary epitaxy chamber is shown in Figure 4, and described below.
  • FIG. 4 is a cross-sectional view of a thermal processing chamber 400 that may be used to perform the epitaxial processes described herein.
  • the processing chamber 400 includes a chamber body 402, support systems 404, and a controller 406.
  • the chamber body 402 includes an upper portion 412 and a lower portion 414.
  • the upper portion 412 includes the area within the chamber body 402 between the upper dome 416 and a substrate 410.
  • the lower portion 414 includes the area within the chamber body 402 between a lower dome 430 and the bottom of the substrate 410.
  • Deposition processes generally occur on the upper surface of the substrate 410 exposed to and within the upper portion 412.
  • the support system 404 includes components used to execute and monitor pre-determ ined processes, such as the growth or deposition of thin films in the processing chamber 400 as described herein.
  • the controller 406 is coupled to the support system 404 and is adapted to control the processing chamber 400 and support system 404.
  • the controller 406 includes a central processing unit (CPU), a memory, and support circuits.
  • the processing chamber 400 includes a plurality of heat sources, such as lamps 435, which are adapted to provide thermal energy to components positioned within the substrate processing chamber 400.
  • the lamps 435 may be adapted to provide thermal energy to the substrate 410, a susceptor 426 for supporting a substrate in the processing chamber 400, and/or a preheat ring 423.
  • the lower dome 430 may be formed from an optically transparent material, such as quartz, to facilitate the passage of thermal radiation therethrough. It is contemplated that lamps 435 may be positioned to provide thermal energy through the upper dome 416 as well as through the lower dome 430.
  • the chamber body 402 includes a plurality of plenums formed therein.
  • the plenums are in fluid communication with one or more gas sources 476, such as a carrier gas, and one or more precursor sources 478, such as process gases (e.g., deposition gases and dopant source gases).
  • a first plenum 420 may be adapted to provide a deposition gas 450 therethrough into the upper portion 412 of the chamber body 402, while a second plenum 421 may be adapted to exhaust the deposition gas 450 from the upper portion 412. In such a manner, the deposition gas 450 may flow parallel to an upper surface of the substrate 410.
  • the thermal processing chamber 400 may include a liquid vaporizer 482 in fluid communication with a liquid precursor source 480.
  • the liquid vaporizer 482 is be used for vaporizing liquid precursors to be delivered to the thermal processing chamber 400.
  • the liquid precursor source 480 may include, for example, one or more ampoules of precursor liquid and solvent liquid, a shut-off valve, and a liquid flow meter (LFM).
  • a bubbler may be used to deliver the liquid precursor(s) to the chamber. In such cases, an ampoule of liquid precursor is connected to the process volume of the chamber through a bubbler.
  • a substrate support assembly 432 is positioned in the lower portion 414 of the chamber body 402.
  • the substrate support assembly 432 is illustrated supporting a substrate 410 in a processing position.
  • the substrate support assembly 432 includes a susceptor support 427 formed from an optically transparent material and the susceptor 426 supported by the susceptor support 427.
  • Support pins 437 couple the susceptor support 427 to the susceptor 426.
  • a shaft 460 of the susceptor support 427 is positioned within a shroud 431 to which lift pin contacts 442 are coupled.
  • the susceptor support 427 is rotatable in order to facilitate the rotation of the substrate 410 about its center during processing.
  • Rotation of the susceptor support 427 is facilitated by a motor, or a belt and motor (not shown).
  • An actuator 429 is coupled to the susceptor support 427 and is used to lift and retract the shaft in order to raise and lower the support.
  • the shroud 431 is generally fixed in position, and therefore, does not rotate during processing.
  • Lift pins 433 are disposed through openings (not labeled) formed in the susceptor support 427.
  • the lift pins 433 are vertically actuatable by contact with moveable lift pin contacts 442 and are adapted to contact the underside of the substrate 410 to lift the substrate 410 from a processing position (as shown) to a substrate removal position, and to support a newly loaded substrate from a loading position to the processing position on the susceptor 426.
  • Moving of lift pin contacts 442 up and down, or stationary positioning of them when the support moves up or down causes the bottoms of the lift pins 433 to come into contact with the lift pin contacts 442, so that they stop moving downward while the support continues to move downward.
  • the preheat ring 423 is removably disposed on a lower liner 440 that is coupled to the chamber body 402.
  • the preheat ring 423 is disposed around the internal volume of the chamber body 402 and circumscribes the substrate 410 while the substrate 410 is in a processing position.
  • the preheat ring 423 facilitates preheating of a process gas as the process gas enters the chamber body 402 through the plenum 420 adjacent to the preheat ring 423, and reduces the size of the opening between the upper and lower volumes of the chamber.
  • the central window portion 415 of the upper dome 416 and the bottom portion 417 of the lower dome 430 are formed from an optically transparent material such as quartz.
  • the processes described herein allow for selective growth of silicon germanium compounds on a substrate surface or surface of a layer previously formed thereon (e.g., materials, films, and/or layers) at low temperatures (e.g., about 450°C or less), with almost complete selectivity to deposit on crystalline silicon surfaces at temperatures of about 400°C or less, such as about 350°C or less.
  • the processes advantageously provide for boron concentrations of 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 (such as from about 5x10 19 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or from about 1x10 18 atoms/cm 3 to about 5x10 21 atoms/cm 3 , or in the range from about 1x10 2 ° atoms/cm 3 to about 2.5x10 21 atoms/cm 3 ) in the deposited Si:Ge layer.
  • a dopant such as boron, advantageously allows for growth of epitaxial silicon germanium films at low temperatures.
  • germanium source For example, germanium containing layers can be formed using digermane at temperatures down to approximately 300°C. Silicon sources such as disilane or lower- order silanes, such as silane and dichlorosilane can also be used to, in combination with a higher order germane precursor, deposit a SiGe material layer.
  • These lower-order silanes do not grow or deposit silicon containing layers at temperatures below 400°C if used alone, but can be used to grow or deposit silicon containing layers in conjunction with germanium deposition or growth when combined with high order germanes, such as digermane. Since the germanium growth by high order germanes, once tuned, can be selective against growth or deposition thereof on dielectrics, for example silicon based dielectrics, and lower-order silanes do not grow silicon on these silicon based dielectrics at low temperatures, the silicon and germanium deposition (such as digermane and disilane) process becomes a selective silicon germanium process at low temperatures.
  • the silicon from silane does not initiate on a silicon material, a dielectric material, or both below about 400°C, but can initiate on the silicon, dielectric, or both below that temperature in the presence of Ge, but an etchant may be used in conjunction with the deposition source gases to remove that Si:Ge deposition which may initiate on the non-crystalline surfaces of the substrate.
  • the germanium in the germane precursor can activate the silicon substrate such that silane reaction becomes possible at temperatures below 400°C.
  • Etchants can be co-flowed with the silicon and germanium sources to further improve deposition or growth selectivity.
  • the etchants are not limited to hydrogen chloride, and can contain halogen, germanium, and/or silicon in the molecules.
  • In situ doping of the deposited materials can be achieved at the same time by co-flowing dopant-containing species such as diborane (for p-type) and phosphine (for n-type) with the silicon sources and germanium sources.
  • a computer system may perform the instructions provided in a non-transitory computer readable medium.
  • the non-transitory computer readable medium can contain instructions to perform the methods described herein. Alternately, the instructions to perform the methods described herein may be added to the non-transitory computer readable medium.
  • the non-transitory computer readable medium can include instructions that cause a computer system to control a substrate processing apparatus to perform processes described herein.
  • the substrate processing chamber can be a part of the substrate processing apparatus.
  • the computer system can be connected to one or more of the substrate processing chamber, to valves that regulate the source gases, dopant gases, etchant gases, and to switches that regulate temperature and pressure of the various components of the substrate processing apparatus.
  • a method of depositing a silicon germanium material on a substrate comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • Clause 4 The method of any of clauses 1 to 3, wherein the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I-I2CI2, GeCU, and GeHCh.
  • the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I-I2CI2, GeCU, and GeHCh.
  • Clause 5 The method of any of clauses 1 to 4, wherein the silicon germanium material has a boron concentration in the doped SiGe material of from about 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 .
  • Clause 6 The method of any of clauses 1 to 5, wherein the substrate is heated to a temperature of about 400°C or less.
  • Clause 7. The method of any of clauses 1 to 6, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 8 The method of any of clauses 1 to 7, further comprising: exposing the substrate to a second process gas comprising a second silicon source gas and a second germanium source gas; and epitaxially and selectively depositing a second silicon germanium material on the substrate.
  • a method of depositing a silicon germanium material on a substrate comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
  • Clause 12 The method of clause 10 or 11 , wherein the substrate is heated to a temperature of about 400°C or less.
  • Clause 13 The method of any of clauses 10 to 12, wherein the silicon source gas is silane, dichlorosilane, or disilane.
  • Clause 14 The method of any of clauses 10 to 13, wherein the germanium source gas is digermane, trigermane, tetragermane, GeCU, or GeHCh.
  • Clause 15 The method of any of clauses 10 to 14, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 16 The method of any of clauses 10 to 15, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
  • a method of depositing a silicon germanium material on a substrate comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, BG2, SiCU, SiHCh, SiFhC , GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, BG2, SiCU, SiHCh, SiFh
  • Clause 18 The method of clause 17, wherein the silicon source gas is silane, dichlorosilane, or disilane.
  • Clause 19 The method of clause 17 or clause 18, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 20 The method of any of clauses 17 to 19, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
  • a non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • Clause 22 The non-transitory computer readable medium of clause 21 , wherein the dopant source gas is a boron containing dopant source gas, a phosphorus containing dopant source gas, or an arsenic containing dopant source gas.
  • the dopant source gas is a boron containing dopant source gas, a phosphorus containing dopant source gas, or an arsenic containing dopant source gas.
  • Clause 23 The non-transitory computer readable medium of clause 22, wherein the boron containing dopant source gas is diborane.
  • Clause 24 The non-transitory computer readable medium of any of clauses 21 to 23, wherein the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCIs, S1H2CI2, GeCU, and GeHCh.
  • the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCIs, S1H2CI2, GeCU, and GeHCh.
  • Clause 25 The non-transitory computer readable medium of any of clauses 21 to 24, wherein the silicon germanium material has a boron concentration in the doped SiGe material of from about 1x10 15 atoms/cm 3 to about 5x10 21 atoms/cm 3 .
  • Clause 26 The non-transitory computer readable medium of any of clauses 21 to 25, wherein the substrate is heated to a temperature of about 400°C or less.
  • Clause 27 The non-transitory computer readable medium of any of clauses 21 to 26, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 28 The non-transitory computer readable medium of any of clauses 21 to 27, further comprising: exposing the substrate to a second process gas comprising a second silicon source gas and a second germanium source gas; and epitaxially and selectively depositing a second silicon germanium material on the substrate.
  • Clause 29 The non-transitory computer readable medium of any of clauses 21 to 28, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
  • a non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
  • Clause 32 The non-transitory computer readable medium of clause 30 or clause 31 , wherein the substrate is heated to a temperature of about 400°C or less.
  • Clause 33 The non-transitory computer readable medium of any of clauses 30 to 32, wherein the silicon source gas is silane, dichlorosilane, or disilane.
  • Clause 34 The non-transitory computer readable medium of any of clauses 30 to 33, wherein the germanium source gas is digermane, trigermane, tetragermane, GeCU, or GeHCh.
  • Clause 35 The non-transitory computer readable medium of any of clauses 30 to 34, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 36 The non-transitory computer readable medium of any of clauses 30 to 35, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
  • a non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, SiFteCte, GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
  • a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI
  • Clause 38 The non-transitory computer readable medium of clause 37, wherein the silicon source gas is silane, dichlorosilane, or disilane.
  • Clause 39 The non-transitory computer readable medium of clause 37 or clause 38, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
  • Clause 40 The non-transitory computer readable medium of any of clauses 37 to 39, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

In an embodiment, a method of selectively depositing a silicon germanium material on a substrate is provided. The method includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.

Description

METHOD OF SELECTIVE SILICON GERMANIUM EPITAXY AT LOW
TEMPERATURES
BACKGROUND
Field
[0001] Embodiments of the present disclosure generally relate to a semiconductor manufacturing processes and semiconductor devices, and more particularly to methods of depositing silicon-germanium containing films used to form, or forming, semiconductor devices.
Description of the Related Art
[0002] Selective SiGe-epitaxial deposition permits the deposition of epitaxial layers on exposed silicon (Si) or other semiconductor regions of a substrate, also known as growth or growing of the layers, with no net SiGe growth on exposed dielectric areas of the substrate. Selective epitaxy can be used in the fabrication of semiconductor device structures, such as for forming desired layers in elevated source/drains, source/drain extensions, contact plugs, and base layers of bipolar devices. Generally, a selective epitaxy process involves two operations: a deposition operation and an etch operation. The deposition and etch operations occur simultaneously, with relatively different reaction rates, and thus deposition rates, on semiconductor and on dielectric surfaces. A selective process window for the deposition etch regime of selective SiGe growth results in cumulative deposition only on semiconductor surfaces, which can be tuned by changing the concentration of an etchant gas used to remove the deposited material from the exposed surfaces of the substrate.
[0003] Selective silicon germanium epitaxy by chemical vapor deposition typically employs precursors that contain one Si or Ge atoms, such as silane, dichlorosilane, or germane. The cumulative or net deposition of SiGe on Si or other semiconductor regions over dielectrics, known as selectivity to Si, is achieved by co-flowing an etchant such as hydrogen chloride along with the deposition precursor(s) used to deposit or grow the SiGe on exposed semiconductor on the substrate. During such processes, the temperature of the substrate is increased to, and/or maintained at, temperatures above 500°C. However, at substrate temperatures below 500°C, epitaxial growth of silicon germanium diminishes and deposition or growth selectivity for Si over dielectric materials drastically decreases.
[0004] Therefore, there is a need for a selective to Si silicon germanium epitaxy process that retains both good selectivity to Si and growth or deposition rate at low temperatures (< about 500°C).
SUMMARY
[0005] In an embodiment, a method of depositing a silicon germanium material on a substrate is provided which includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0006] In another embodiment, a method of depositing a silicon germanium material on a substrate is provided which includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
[0007] In another embodiment, a method of depositing a silicon germanium material on a substrate is provided which includes positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I-I2CI2, GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0008] In another embodiment, a non-transitory computer readable medium is provided that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.
[0010] Figure 1A is a flow chart illustrating a method of forming an epitaxial layer according to some embodiments.
[0011] Figure 1 B is a flow chart illustrating a method of forming an epitaxial layer according to some embodiments.
[0012] Figure 2 shows a fin field effect transistor (FinFET) device with an epitaxially deposited silicon-containing layer according to some embodiments.
[0013] Figure 3A shows an illustration of a source/drain extension device within a traditional metal-oxide-semiconductor field effect transistor (MOSFET).
[0014] Figure 3B shows an illustration of a source/drain extension device within a FinFET. [0015] Figure 4 is a cross-sectional view of a thermal processing chamber that may be used to perform epitaxial processes.
[0016] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0017] Embodiments described herein illustrate a process to epitaxially deposit silicon containing compounds during the manufacture of various device structures. The processes described herein allow for selective and epitaxial growth or deposition of a silicon germanium film layer on exposed crystalline silicon containing regions of a substrate and not on exposed dielectric regions of the substrate, at low substrate temperatures (e.g., about 450°C or less), with almost complete selectivity for the exposed crystalline silicon over the exposed dielectric of the substrate, when performed at temperatures of about 400°C, such as about 350°C or less. The processes herein advantageously provide boron concentrations in the grown or deposited silicon containing compound of about 1x1015 or greater, such as about 1x1021 or greater, such as about 5x1021. Moreover, the dopant, such as boron, advantageously allows growth or deposition of epitaxial silicon germanium materials at low temperatures. The lower temperature processes advantageously enable lower thermal budgets for the process and thereby reduce negative thermal effects on the devices being formed.
[0018] Embodiments of the present disclosure include processes to selectively grow or deposit films of epitaxial silicon-containing compounds. For example, the silicon- containing compounds grow on exposed regions of crystalline silicon containing regions of a substrate, and not on exposed regions of dielectric materials on the substrate.
Selective silicon containing film growth or deposition may be performed when the substrate surface has exposed thereat more than one material, such as exposed single crystalline silicon surface areas, and features that are covered with dielectric materials such as with SiO and SiN layers. Epitaxial growth or deposition selective to the crystalline silicon surface, while leaving the dielectric features or structures uncoated by the epitaxial deposition material, is achieved using an etchant (e.g., HCI) during deposition. During deposition, the deposition material forms a crystalline layer on the exposed single crystal silicon, and a polycrystalline or amorphous layer on the exposed dielectric surfaces. The etchant removes the amorphous or polycrystalline film grown or deposited on the amorphous or polycrystalline features faster than it can remove the epitaxial crystalline film grown or deposited on the exposed crystalline material of the substrate (or the silicon germanium material never grows on the surface of the dielectric material of the substrate), and thus selective epitaxial net growth or deposition of the silicon containing compound on the exposed crystalline material of the substrate is achieved.
[0019] Processes disclosed herein may be performed on various substrates such as semiconductor wafers, such as crystalline and single crystalline silicon (e.g., Si<100> and Si<111 >), silicon germanium, doped or undoped silicon or germanium substrates, silicon on insulator (SOI) substrates, lll-V group materials, and patterned or non- patterned substrates, having a variety of geometries (e.g., round, square and rectangular) and sizes (e.g., 200 mm OD, 300 mm OD, 400 mm OD). Surfaces and/or substrates include these materials, as well as films, layers and materials with dielectric, conductive and barrier properties and include polysilicon.
[0020] As used herein, silicon compounds and silicon-containing compounds refer to materials, layers, and/or films and include Si, SiGe, doped variants thereof, and combinations thereof which are selectively and epitaxially grown during the processes described herein. The silicon compounds and silicon-containing compounds include strained, unstrained, or strained and unstrained layers within the films.
[0021] Figure 1A is a flow chart illustrating a method 100 of forming a selective epitaxial layer on selected surfaces of a substrate according to an embodiment. The epitaxial layer is, for example, a silicon germanium film. The method 100 includes positioning a substrate within a substrate processing chamber at operation 105. The method 100 further includes heating the substrate to, maintaining the substrate at, or heating the substrate to and maintaining it at a temperature of 450°C or less, such as 400°C or less, such as 350°C or less, or such as 300°C or less at operation 110. For example the substrate may be maintained at a temperature between about 250°C and about 450°C, or such as between about 270°C and about 450°C during the deposition or growth of the Si containing compound. The method 100 further includes exposing the heated substrate to a process gas comprising a silicon source gas, a germanium source gas, an etchant, a carrier gas, and at least one dopant source gas at operation 1 15. The method 100 further includes epitaxially and selectively growing or depositing a silicon germanium material on the crystalline silicon surface while the dielectric features or structures remain uncoated by the silicon germanium material at the end of operation 120.
[0022] Carrier gases are used to transport the silicon source gas(es), germanium source gas(es), dopant source gas(es), and etchant sources gas(es) during the processes described herein. Carrier gases include H2, Ar, N2, He, and combinations thereof. In some embodiments, H2 is used as a carrier gas. In other embodiments, N2 is used as a carrier gas. Carrier gases may be combined in various ratios during some embodiments of the process.
[0023] Etchants in gas form are employed to remove Si containing material film grown on the exposed dielectric materials which may form on the exposed dielectric materials of the substrate in an amorphous or polycrystalline form faster than it can remove the Si containing material grown or deposited on the exposed crystalline silicon in crystalline form, for example on a single crystal silicon material, of the substrate. Etchants useful for such purposes during processes described herein include HCI, HF, HBr, BG2, S 12C IQ , SiCU, SiHCh, S1H2CI2, CCU, CI2, GeCU, GeHCh, and combinations thereof.
[0024] Silicon source gas(es) or precursors that are useful for the selective epitaxy processes described herein include silane (SiH4), higher order silanes, halogenated silanes, and organosilanes. Higher order silanes include compounds with an empirical formula SixH(2x+2), such as disilane (S12H6), trisilane (ShHs), and tetrasilane (SUH10). Halogenated silanes include compounds with the empirical formula X'ySixH(2x+2-y), where X' = F, Cl, Br or I, such as dichlorosilane (SiH2Cl2), tetrachlorosilane (SiCU), and hexachlorodisilane (ShCh), and trichlorosilane (SiHCh). Organosilanes include compounds with an empirical formula RySixH(2x+2-y), where R = methyl, ethyl, propyl or butyl, such as methylsilane ((CH3)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2Hs), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2).
[0025] Germanium source gas(es) or precursors that are useful for the selective epitaxy processes described herein include germanes (e.g., GeH4), higher order germanes, halogenated germanes, and organogermanes. Higher order germanes include compounds with an empirical formula GexH(2x+2), such as digermane (Ge2H6), trigermane (GesHs), and tetragermane (Ge4Hio). Halogenated germanes include GeCU (germanium tetrachloride) and GeHCh (trichlorogermane). Organogermanes include compounds with an empirical formula RyGexH(2x+2-y), where R = methyl, ethyl, propyl or butyl, such as methylgermane ((CH3)GeH3), dimethylgermane ((CH3)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CH3)2Ge2H4) and hexamethyldigermane ((CH3)6Ge2).
[0026] The deposited film layers of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristics thereof. In some embodiments, the silicon-containing compound is doped p-type, such as by flowing diborane into the deposition chamber at a requisite ratio to the deposition precursor gas to add boron at a concentration of about 1x1015 atoms/cm3 or more, such as about 1x1019 atoms/cm3 or more, such as at about 5x1021 atoms/cm3 into the deposited film layer. For example the silicon-containing compound is doped p-type, such as by flowing diborane during the deposition of the silicon containing compound to add boron therein at a concentration in the range of from about 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x1020 atoms/cm3 to about 2.5x1021 atoms/cm3). In another embodiment, the silicon-containing compound is doped n-type, by flowing a phosphorus source gas into the deposition chamber to achieve a P concentration in the deposited film layer of 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x102° atoms/cm3 to about 2.5x1021 atoms/cm3).
[0027] Dopants used herein include boron containing dopants and phosphorus containing dopants. Boron containing dopant source gases include boranes, organoboranes (e.g., alkylboranes), and boron halides. Boranes include borane (BH3), diborane (B2H6), triborane (B3H5), tetraborane (B4HIO), pentaborane(9) (B5H9), pentaborane(11 ), hexaborane(10) (BbH-io), hexaborane(12) (B6H12), and decaborane(14) ((BIOHI4), while alkylboranes include compounds with an empirical formula RXBH(3-X), where R = methyl, ethyl, propyl or butyl and x = 0, 1 , 2 or 3.
Alkylboranes include trimethylborane ((CH3)3B), dimethylborane ((CH3)2BH), triethylborane ((CFtaCFte^B) and diethylborane ((CH3CH2)2BH). Boron halides include electron deficient boron halides such as boron trifluoride (BF3), boron trichloride (BCh), and boron tribromide (BBr3), Dopant source gases also include phosphorus containing dopants such as phosphine (PH3) and alkylphosphines, such as compounds with an empirical formula RxPH(3-x), where R = methyl, ethyl, propyl or butyl and x = 0, 1 , 2 or 3. Alkylphosphines include trimethylphosphine ((CH3)3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CFtaCFte^P), and diethylphosphine ((CH3CH2)2PH). Other phosphorus containing compounds that can be used as dopant source gases include phosphorus trichloride (PCh), phosphorus tribromide (PBr3), phosphanes such as tributyl phosphate (TBP), and silylphosphines [(H3Si)3-xPRx] where x = 0, 1 , 2, and Rx is hydrogen or deuterium. Dopant source gases also include arsenic containing dopants including halogenated arsenic compounds arsine (AsFta), trimethylarsenic, and silylarsines [(H3Si)3-xAsRx] where x = 0, 1 , 2, and Rx is hydrogen or deuterium.
[0028] As an example of method 100, a silicon containing material is epitaxially and selectively grown to form a doped SiGe material on the exposed monocrystalline silicon surface of a substrate, but not on the exposed dielectric materials of the substrate. For example, the doped SiGe material forms selectively on the elevated source/drains, source/drain extensions, contact plugs, and base layers of bipolar devices which comprise monocrystalline silicon. The monocrystalline surface can be, for example, a silicon containing single crystal or a silicon germanium single crystal. A substrate (e.g., 300 mm OD) containing a semiconductor feature is placed into the substrate processing chamber. During processing, a silicon source gas (e.g., silane) is flowed concurrently into the substrate processing chamber with a carrier gas (e.g., H2 and/or N2), a germanium source gas (e.g., GeFI4), a dopant source gas (e.g., B2FI6) and an etchant (e.g., HCI). These gases can be flowed into the substrate processing chamber in same or different conduits. The gases can be mixed in a showerhead, an introduction channel to the chamber, in the chamber, or after exiting a zoned showerhead. The flow rate of the silicon source gas is in the range of from about 5 seem to about 500 seem, such as from about 10 seem to about 100 seem, such as from about 20 seem to about 50 seem. The flow rate of the carrier gas is from about 1 ,000 seem to about 60,000 seem, such as from about 10,000 seem to about 20,000 seem, such as from about 12,000 seem to about 15,000 seem. The flow rate of the germanium source gas is in the range of from about 0.1 seem to about 100 seem, such as from about 0.1 seem to about 10 seem or from about 0.5 seem to about 20 seem, such as from about 0.5 seem to about 2 seem, for example about 1 seem. The flow rate of the dopant source gas is from about 0.01 seem to about 3 seem, such as from about 0.1 seem to about 2 seem, for example about 0.5 seem to about 1 seem. The flow rate of the etchant gas is in the range of from about 5 seem to about 1 ,000 seem, such as from about 10 seem to about 50 seem, for example about 20 seem to about 40 seem. The substrate processing chamber is maintained at a pressure of from about 0.1 Torr to about 200 Torr, such as from about 5 Torr to about 20 Torr, for example from about from about 10 Torr to about 15 Torr. The substrate is maintained at a temperature of about 450°C or less, such as about 400°C or less, such as about 350°C or less, such as about 300°C or less. For example the substrate may be maintained at (or heated to and maintained at) a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C. The reaction of the source gas mixture is thermally driven, and it reacts at the heated substrate surface to epitaxially deposit a silicon material, namely a silicon germanium material on the crystalline silicon surface of the substrate and it is believed on the amorphous or polycrystalline silicon based dielectric features of the substrate. The etchant (e.g., HCI) etches off the SiGe compounds which also form on the amorphous or polycrystalline silicon based or other dielectric features on the surface of the substrate, but does not significantly etch the epitaxial layer formed on the monocrystalline silicon.
[0029] The deposition or growth process is thus performed to selectively form a doped SiGe material on the exposed crystalline silicon surface having a thickness in a range from about 20 A to about 3,000 A (such as from about 50 A to about 1000 A, for example from about 50 A to about 100 A) at a deposition rate of between about 5 A/min and about 600 A/min (such as from about 5 A/min to about 50 A/min, for example from about 10 A/min to about 30 A/min). The germanium concentration of the deposited SiGe material is in the range from about 1 atomic percent to about 100 atomic percent material (such as from about 10 atomic percent to about 100 atomic percent, such as from about 10 atomic percent to about 90 atomic percent, such as from about 40 atomic percent to about 70 atomic percent, for example about 60 atomic percent). The boron concentration of the deposited SiGe material is in the range of from about 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x102° atoms/cm3 to about 2.5x1021 atoms/cm3).
[0030] The resistivity of the epitaxially grown B-doped SiGe layer is about 0.3 mQ*cm or less (such as between about 0.2 mQ*cm and about 0.3 mQ*cm, for example, about 0.25 mQ*cm or less).
[0031] Figure 1 B is a flow chart illustrating a method 150 of selectively forming an epitaxial layer on portions of a substrate according to an embodiment. The epitaxial layer is, for example, a silicon germanium film. The method 150 includes positioning a substrate within a substrate processing chamber at operation 155. The method 150 further includes maintaining (and/or heating) the substrate at a temperature of 450°C or less, such as 400°C or less, such as 350°C or less, such as 300°C or less at operation 160. For example the substrate may be maintained (or heated) at a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C. The method 150 further includes exposing the substrate to a first process gas comprising: a first silicon source gas, a first germanium source gas, an a first etchant gas, a first carrier gas, and at least one first dopant source gas at operation 165. The method 150 further includes epitaxially and selectively depositing a first silicon germanium material on portions of the substrate at operation 170. Operations 155, 160, 165, and 170 are the same as operations 105, 1 10, 1 15, and 120 of method 100. The method 150 further includes exposing the substrate to a second process gas comprising: a second silicon source gas, a second germanium source gas, a second etchant gas, and a second carrier gas, and optionally a second dopant source gas at operation 175. The method 150 further includes epitaxially and selectively depositing a second silicon germanium material on portions of the substrate at operation 180.
[0032] As an example of method 150, at operations 175 and 180 a second silicon compound is epitaxially grown as a SiGe material using a second silicon source gas (e.g., dichlorosilane, CteSiFte) subsequent to depositing any of the silicon compounds as described above. A first silicon germanium material is deposited or grown by, e.g., the example of method 100 described above. A second silicon source gas (e.g., dichlorosilane) is flowed concurrently into the substrate processing chamber with a second carrier gas (e.g., H2 and/or N2), a second germanium source gas (e.g., GeFI4), a second dopant source gas (e.g., B2FI6) and a second etchant gas (e.g., HCI). The flow rate of dichlorosilane is in the range of from about 5 seem to about 500 seem, such as from about 10 seem to about 100 seem, such as from about 20 seem to about 50 seem. The flow rate of the second carrier gas is from about 1 ,000 seem to about 60,000 seem, such as from about 10,000 seem to about 20,000 seem, such as from about 12,000 seem to about 15,000 seem. The flow rate of the second germanium source gas is in the range of from about 0.1 seem to about 100 seem, such as from about 0.1 seem to about 10 seem or from about 0.5 seem to about 20 seem, such as from about 0.5 seem to about 2 seem, for example about 1 seem. The flow rate of the second dopant source gas is from about 0.01 seem to about 3 seem, such as from about 0.1 seem to about 2 seem, for example about 0.5 seem to about 1 seem. The flow rate of the second etchant gas is in the range of from about 5 seem to about 1 ,000 seem, such as from about 10 seem to about 50 seem, for example about 20 seem to about 40 seem. The substrate processing chamber is maintained at a pressure of from about 0.1 Torr to about 200 Torr, such as from about 5 Torr to about 20 Torr, for example from about from about 10 Torr to about 15 Torr. The substrate is maintained at a temperature of about 450°C or less, such as about 400°C or less, such as about 350°C or less, such as about 300°C or less. For example the substrate may be maintained at (or heated to and maintained at) a temperature between about 250°C and about 450°C, such as between about 270°C and about 450°C. The reaction of the second source gas mixture is thermally driven, and it reacts at the heated substrate surface to epitaxially deposit a second silicon material, namely a second silicon germanium material, on the first SiGe material of the substrate and the dielectric features of the substrate. The second etchant etches the SiGe compounds from the amorphous or polycrystalline dielectric features on the surface of the substrate, but does not significantly etch the epitaxial layer formed on the surface of the first SiGe material.
[0033] The process is performed to selectively form a second SiGe material on the surface of the first SiGe material with a thickness in a range from about 20 A to about 3,000 A (such as from about 50 A to about 1000 A, for example from about 50 A to about 100 A) at a deposition rate of between about 5 A/m in and about 600 A/m in (such as from about 5 A/min to about 50 A/min, for example from about 10 A/min to about 30 A/min). The germanium concentration of the deposited SiGe material is in the range from about 1 atomic percent to about 100 atomic percent material (such as from about 10 atomic percent to about 100 atomic percent, such as from about 10 atomic percent to about 90 atomic percent, such as from about 40 atomic percent to about 70 atomic percent, for example about 60 atomic percent). In another embodiment, a third silicon containing layer is deposited using any of the processes discussed above.
[0034] In some embodiments, the substrate surface is exposed to ambient conditions, such as air which includes oxygen and/or water vapor therein, between process operations. The ambient exposure generally occurs while moving substrates between multiple process chambers during the fabrication of devices. A first silicon- containing layer is deposited onto the substrate surface, the substrate is exposed to ambient conditions, and subsequently, a second silicon-containing layer is deposited onto the substrate surface. In one aspect, a cap layer is deposited on the first silicon- containing layer before the exposure of the layer to the ambient conditions. The cap layer may be semiconductor material, such as silicon. For example, a silicon- germanium layer is deposited on the substrate surface, a silicon cap layer is deposited on the just grown or deposited silicon-germanium layer, the substrate is exposed to ambient conditions, and subsequently a second-silicon containing layer is deposited on the silicon cap layer. The Si cap layer may be placed on top of a B-doped SiGe layer to protect the B-doped SiGe layer from oxidation. The Si cap surface can be removed by oxidation thereof to form a silicon oxide, followed by selective etching of it, if it needs to be removed before the next epi layer is selectively formed.
[0035] In some embodiments, the silicon containing material includes a germanium concentration within the range from about 0 atomic percent to about 95 atomic percent. In other embodiments, a germanium concentration is within the range from about 1 atomic percent to about 30 atomic percent, such as from about 10 atomic percent to about 25 atomic percent, such as at about 20 atomic percent.
[0036] In some embodiments, ratios of the silicon source gas and the germanium source gas can be varied in order to provide control of the elemental concentrations of the silicon, germanium, and dopant while growing graded films.
[0037] The processes described herein are useful while depositing silicon-containing compound layers in, for example, FinFETs, traditional planar MOSFETs, and bipolar transistors. [0038] Figure 2 shows a perspective view of a FinFET semiconductor structure 250, the features of which may be epitaxially grown with the silicon containing compound according to one of the embodiments described herein. The semiconductor structure 250 may include a substrate 251 , a plurality of fins 252 (only two are shown, but the structure may have more than two fins), a dielectric material 254 disposed between adjacent fins 252 on the substrate 251 , and a gate electrode 260 disposed on the dielectric material 254 and over a portion of each fin 252. The substrate 251 may be a bulk silicon substrate, and may be doped with a p-type or an n-type impurity. The plurality of fins 252 may be fabricated from the same material as the substrate 251. The dielectric material 254 may form isolation regions, such as shallow trench isolation (STI) regions, and may be fabricated from silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, or any other suitable dielectric material. As shown in Figure 2, each of the plurality of fins 252 extends a distance above the upper surface of the dielectric material 254. A gate dielectric 258 is formed between the gate electrode 260 and the plurality of fins 252. The gate dielectric 258 facilitates electrical isolation between the gate electrode 260 and the plurality of fins 252. The gate dielectric 258 may be fabricated from silicon nitride, silicon oxide, hafnium oxide, hafnium silicon oxynitride, hafnium silicate, hafnium silicon oxide, or any other suitable gate dielectric material. The gate electrode 260 may be fabricated from polysilicon, amorphous silicon, germanium, silicon germanium, metals, or metal alloys.
[0039] Figure 3A depicts the cross section for a traditional planar MOSFET according to some embodiments. After forming the spacer 334 on both sides of the dummy gate 336, a portion of the substrate or of the fin is etched away followed by wet- cleaning of the substrate, to produce a recess 332 within which the silicon-containing compound is deposited epitaxially according to the processes described herein and for use as the source/drain. The silicon-containing compound epitaxially grows to mimic the crystal lattice of the exposed substrate or fin surface and maintains this arrangement as the silicon-containing compound grows with thickness. Subsequent to this source drain formation, and after several intermediate steps, the dummy gate 336 is eventually replaced with the actual metal gate electrode.
[0040] The epitaxial silicon compound layer 332 is selectively deposited within the source/drain region according to embodiments described herein. Selective silicon containing film growth may be performed when the substrate surface 330 has exposed thereat more than one material, such as exposed single crystalline silicon surface areas, and features that are covered with dielectric materials such as with SiO and SiN layers. The silicon compound layer 332 is composed of, for example, doped SiGe containing layers located to either side of the gate in the device depicted by Figure 3 and having a germanium concentration of, for example, about 1 atomic percent to about 30 atomic percent, such as at about 20 atomic percent, and a dopant (e.g., B or P) concentration of, for example, 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x102° atoms/cm3 to about 2.5x1021 atoms/cm3.
[0041] In some embodiments, B-doped SiGe layer 340 can, using the processes described herein, be formed on top of an existing B-doped SiGe source/drain 332 at a low temperature on the order of below about 450°C, to form a contact layer. This contact layer reduces the Schottky barrier between B-doped SiGe source/drain and the metal electrode, and provides lower contact resistivity. In this embodiment, the existing B-doped SiGe source/drain can be made by the same processes described herein, or by other methods, such as higher-temperature epitaxy (e.g., temperatures greater than about 500°C, such as between about 600°C and about 700°C).
[0042] Figure 3B depicts the cross section for a FinFET 350 according to some embodiments. An epitaxial silicon compound layer 366 is deposited on the surface 352 of each fin 354 and extending over an upper surface 351 of the dielectric material 254 (the dielectric material 254 is also shown in Figure 2). The silicon compound layer 366 may be also deposited on the surface 362 of the dielectric material 358, and an etch back process may be performed to remove the silicon compound layer 366 deposited on the surface 362 of the dielectric material 358. The silicon compound layer 366 may be the source or drain of a FinFET device and may be a silicon and/or germanium based material. The silicon compound layer 366 may be formed by an epitaxial deposition process described herein in an epitaxial deposition chamber available from Applied Materials, Inc. In one embodiment, the silicon compound layer 366 is silicon doped with phosphorus and the FinFET device is an n-type FET. In another embodiment, the silicon compound layer 366 is silicon germanium doped with boron or gallium, and the FinFET device is a p-type FET. Each silicon compound layer 366 has a surface 363 that is recessed from the surface 362 of the dielectric material 358. [0043] The epitaxial silicon compound layer 366 is selectively deposited within the source/drain region according to embodiments described herein. Selective silicon containing film growth may be performed when the substrate surface has exposed thereat more than one material, such as exposed single crystalline silicon surface areas, and features that are covered with dielectric materials such as with SiO and SiN layers. The silicon compound layer 366 is composed of, for example, doped SiGe containing layers located to either side of the gate in the device depicted by Figure 3B and having a germanium concentration of, for example, about 1 atomic percent to about 30 atomic percent, such as at about 20 atomic percent, and a dopant (e.g., B or P) concentration of, for example, 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x102° atoms/cm3 to about 2.5x1021 atoms/cm3.
[0044] Although not shown, further operations may be performed on the substrate. For example, a metal layer can be deposited over the features of the substrate (e.g., a silicon containing single crystal surface, such as the source and drain regions of the substrate) and the substrate and layers formed thereon is thereafter annealed. The metal layer includes cobalt, nickel or titanium, among other metals. During the annealing process, the silicon compound layer is converted to metal silicide layers. For example, when a metal (e.g., cobalt) is deposited as the metal layer, the resulting metal silicide layer is cobalt silicide.
[0045] The processes described herein can be used to deposit silicon compound films used for Bipolar (e.g., base, emitter, collector, emitter contact), BiCMOS (e.g., base, emitter, collector, emitter contact) and traditional planar or FinFET CMOS (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug). Other embodiments of processes teach the growth of silicon films that can be used as gate, base contact, collector contact, emitter contact, elevated sources/drains, and other uses. Other devices include field effect transistors (FET).
[0046] In processes of the present disclosure, silicon containing compounds (e.g., films, layers, and materials) are grown or deposited by chemical vapor deposition (CVD) processes, wherein CVD processes include atomic layer deposition (ALD) processes and/or atomic layer epitaxy (ALE) processes. Chemical vapor deposition includes the use of many techniques, such as plasma-assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metalorganic CVD (OMCVD or MOCVD), laser-assisted CVD (LA-CVD), ultraviolet CVD (UV-CVD), hot-wire CVD (HWCVD), reduced-pressure CVD (RP-CVD), and ultra-high vacuum CVD (UHV-CVD). The processes of the present disclosure can be carried out in equipment known in the art of ALE, CVD and ALD processing. The apparatus brings the source gas(es) into contact with a substrate on which the silicon-containing compounds are grown. An exemplary epitaxy chamber that may be used to grow the silicon containing compounds described herein is a Centura® RP EPI chamber available from Applied Materials, Inc., of Santa Clara, California. One exemplary epitaxy chamber is shown in Figure 4, and described below.
[0047] Figure 4 is a cross-sectional view of a thermal processing chamber 400 that may be used to perform the epitaxial processes described herein. The processing chamber 400 includes a chamber body 402, support systems 404, and a controller 406. The chamber body 402 includes an upper portion 412 and a lower portion 414. The upper portion 412 includes the area within the chamber body 402 between the upper dome 416 and a substrate 410. The lower portion 414 includes the area within the chamber body 402 between a lower dome 430 and the bottom of the substrate 410. Deposition processes generally occur on the upper surface of the substrate 410 exposed to and within the upper portion 412.
[0048] The support system 404 includes components used to execute and monitor pre-determ ined processes, such as the growth or deposition of thin films in the processing chamber 400 as described herein. The controller 406 is coupled to the support system 404 and is adapted to control the processing chamber 400 and support system 404. The controller 406 includes a central processing unit (CPU), a memory, and support circuits.
[0049] The processing chamber 400 includes a plurality of heat sources, such as lamps 435, which are adapted to provide thermal energy to components positioned within the substrate processing chamber 400. For example, the lamps 435 may be adapted to provide thermal energy to the substrate 410, a susceptor 426 for supporting a substrate in the processing chamber 400, and/or a preheat ring 423. The lower dome 430 may be formed from an optically transparent material, such as quartz, to facilitate the passage of thermal radiation therethrough. It is contemplated that lamps 435 may be positioned to provide thermal energy through the upper dome 416 as well as through the lower dome 430.
[0050] The chamber body 402 includes a plurality of plenums formed therein. The plenums are in fluid communication with one or more gas sources 476, such as a carrier gas, and one or more precursor sources 478, such as process gases (e.g., deposition gases and dopant source gases). For example, a first plenum 420 may be adapted to provide a deposition gas 450 therethrough into the upper portion 412 of the chamber body 402, while a second plenum 421 may be adapted to exhaust the deposition gas 450 from the upper portion 412. In such a manner, the deposition gas 450 may flow parallel to an upper surface of the substrate 410.
[0051] In cases where a liquid precursor (e.g., tetrasilane) is used, the thermal processing chamber 400 may include a liquid vaporizer 482 in fluid communication with a liquid precursor source 480. The liquid vaporizer 482 is be used for vaporizing liquid precursors to be delivered to the thermal processing chamber 400. While not shown, it is contemplated that the liquid precursor source 480 may include, for example, one or more ampoules of precursor liquid and solvent liquid, a shut-off valve, and a liquid flow meter (LFM). As an alternative to the liquid vaporizer, a bubbler may be used to deliver the liquid precursor(s) to the chamber. In such cases, an ampoule of liquid precursor is connected to the process volume of the chamber through a bubbler.
[0052] A substrate support assembly 432 is positioned in the lower portion 414 of the chamber body 402. The substrate support assembly 432 is illustrated supporting a substrate 410 in a processing position. The substrate support assembly 432 includes a susceptor support 427 formed from an optically transparent material and the susceptor 426 supported by the susceptor support 427. Support pins 437 couple the susceptor support 427 to the susceptor 426. A shaft 460 of the susceptor support 427 is positioned within a shroud 431 to which lift pin contacts 442 are coupled. The susceptor support 427 is rotatable in order to facilitate the rotation of the substrate 410 about its center during processing. Rotation of the susceptor support 427 is facilitated by a motor, or a belt and motor (not shown). An actuator 429 is coupled to the susceptor support 427 and is used to lift and retract the shaft in order to raise and lower the support. The shroud 431 is generally fixed in position, and therefore, does not rotate during processing.
[0053] Lift pins 433 are disposed through openings (not labeled) formed in the susceptor support 427. The lift pins 433 are vertically actuatable by contact with moveable lift pin contacts 442 and are adapted to contact the underside of the substrate 410 to lift the substrate 410 from a processing position (as shown) to a substrate removal position, and to support a newly loaded substrate from a loading position to the processing position on the susceptor 426. Moving of lift pin contacts 442 up and down, or stationary positioning of them when the support moves up or down, causes the bottoms of the lift pins 433 to come into contact with the lift pin contacts 442, so that they stop moving downward while the support continues to move downward. The preheat ring 423 is removably disposed on a lower liner 440 that is coupled to the chamber body 402. The preheat ring 423 is disposed around the internal volume of the chamber body 402 and circumscribes the substrate 410 while the substrate 410 is in a processing position. The preheat ring 423 facilitates preheating of a process gas as the process gas enters the chamber body 402 through the plenum 420 adjacent to the preheat ring 423, and reduces the size of the opening between the upper and lower volumes of the chamber.
[0054] The central window portion 415 of the upper dome 416 and the bottom portion 417 of the lower dome 430 are formed from an optically transparent material such as quartz.
[0055] The processes described herein allow for selective growth of silicon germanium compounds on a substrate surface or surface of a layer previously formed thereon (e.g., materials, films, and/or layers) at low temperatures (e.g., about 450°C or less), with almost complete selectivity to deposit on crystalline silicon surfaces at temperatures of about 400°C or less, such as about 350°C or less. The processes advantageously provide for boron concentrations of 1x1015 atoms/cm3 to about 5x1021 atoms/cm3 (such as from about 5x1019 atoms/cm3 to about 5x1021 atoms/cm3, or from about 1x1018 atoms/cm3 to about 5x1021 atoms/cm3, or in the range from about 1x102° atoms/cm3 to about 2.5x1021 atoms/cm3) in the deposited Si:Ge layer. Moreover, the use of a dopant, such as boron, advantageously allows for growth of epitaxial silicon germanium films at low temperatures. [0056] It has been found that to achieve greater deposition or growth selectivity to crystalline layers than to polysilicon or amorphous layers in a silicon germanium epitaxial growth or deposition process at lower temperatures, high-order germanes or halogenated germanes, such as digermane, should be used as the germanium source. For example, germanium containing layers can be formed using digermane at temperatures down to approximately 300°C. Silicon sources such as disilane or lower- order silanes, such as silane and dichlorosilane can also be used to, in combination with a higher order germane precursor, deposit a SiGe material layer. These lower-order silanes do not grow or deposit silicon containing layers at temperatures below 400°C if used alone, but can be used to grow or deposit silicon containing layers in conjunction with germanium deposition or growth when combined with high order germanes, such as digermane. Since the germanium growth by high order germanes, once tuned, can be selective against growth or deposition thereof on dielectrics, for example silicon based dielectrics, and lower-order silanes do not grow silicon on these silicon based dielectrics at low temperatures, the silicon and germanium deposition (such as digermane and disilane) process becomes a selective silicon germanium process at low temperatures. The silicon from silane does not initiate on a silicon material, a dielectric material, or both below about 400°C, but can initiate on the silicon, dielectric, or both below that temperature in the presence of Ge, but an etchant may be used in conjunction with the deposition source gases to remove that Si:Ge deposition which may initiate on the non-crystalline surfaces of the substrate. The germanium in the germane precursor can activate the silicon substrate such that silane reaction becomes possible at temperatures below 400°C.
[0057] Etchants can be co-flowed with the silicon and germanium sources to further improve deposition or growth selectivity. The etchants are not limited to hydrogen chloride, and can contain halogen, germanium, and/or silicon in the molecules. In situ doping of the deposited materials can be achieved at the same time by co-flowing dopant-containing species such as diborane (for p-type) and phosphine (for n-type) with the silicon sources and germanium sources.
[0058] A computer system may perform the instructions provided in a non-transitory computer readable medium. The non-transitory computer readable medium can contain instructions to perform the methods described herein. Alternately, the instructions to perform the methods described herein may be added to the non-transitory computer readable medium. The non-transitory computer readable medium can include instructions that cause a computer system to control a substrate processing apparatus to perform processes described herein. The substrate processing chamber can be a part of the substrate processing apparatus. The computer system can be connected to one or more of the substrate processing chamber, to valves that regulate the source gases, dopant gases, etchant gases, and to switches that regulate temperature and pressure of the various components of the substrate processing apparatus.
[0059] The present disclosure provides, among others, the following embodiments, each of which may be considered as optionally including any alternate embodiments:
[0060] Clause 1. A method of depositing a silicon germanium material on a substrate, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0061] Clause 2. The method of clause 1 , wherein the dopant source gas is a boron containing dopant source gas, a phosphorus containing dopant source gas, or an arsenic containing dopant source gas.
[0062] Clause 3. The method of clause 2, wherein the boron containing dopant source gas is diborane.
[0063] Clause 4. The method of any of clauses 1 to 3, wherein the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, S1I-I2CI2, GeCU, and GeHCh.
[0064] Clause 5. The method of any of clauses 1 to 4, wherein the silicon germanium material has a boron concentration in the doped SiGe material of from about 1x1015 atoms/cm3 to about 5x1021 atoms/cm3.
[0065] Clause 6. The method of any of clauses 1 to 5, wherein the substrate is heated to a temperature of about 400°C or less. [0066] Clause 7. The method of any of clauses 1 to 6, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
[0067] Clause 8. The method of any of clauses 1 to 7, further comprising: exposing the substrate to a second process gas comprising a second silicon source gas and a second germanium source gas; and epitaxially and selectively depositing a second silicon germanium material on the substrate.
[0068] Clause 9. The method of any of clauses 1 to 8, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[0069] Clause 10. A method of depositing a silicon germanium material on a substrate, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
[0070] Clause 11. The method of clause 10, wherein the boron containing dopant source gas is diborane.
[0071] Clause 12. The method of clause 10 or 11 , wherein the substrate is heated to a temperature of about 400°C or less.
[0072] Clause 13. The method of any of clauses 10 to 12, wherein the silicon source gas is silane, dichlorosilane, or disilane.
[0073] Clause 14. The method of any of clauses 10 to 13, wherein the germanium source gas is digermane, trigermane, tetragermane, GeCU, or GeHCh. [0074] Clause 15. The method of any of clauses 10 to 14, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
[0075] Clause 16. The method of any of clauses 10 to 15, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[0076] Clause 17. A method of depositing a silicon germanium material on a substrate, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, BG2, SiCU, SiHCh, SiFhC , GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0077] Clause 18. The method of clause 17, wherein the silicon source gas is silane, dichlorosilane, or disilane.
[0078] Clause 19. The method of clause 17 or clause 18, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
[0079] Clause 20. The method of any of clauses 17 to 19, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[0080] Clause 21 . A non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0081] Clause 22. The non-transitory computer readable medium of clause 21 , wherein the dopant source gas is a boron containing dopant source gas, a phosphorus containing dopant source gas, or an arsenic containing dopant source gas.
[0082] Clause 23. The non-transitory computer readable medium of clause 22, wherein the boron containing dopant source gas is diborane.
[0083] Clause 24. The non-transitory computer readable medium of any of clauses 21 to 23, wherein the etchant gas is one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCIs, S1H2CI2, GeCU, and GeHCh.
[0084] Clause 25. The non-transitory computer readable medium of any of clauses 21 to 24, wherein the silicon germanium material has a boron concentration in the doped SiGe material of from about 1x1015 atoms/cm3 to about 5x1021 atoms/cm3.
[0085] Clause 26. The non-transitory computer readable medium of any of clauses 21 to 25, wherein the substrate is heated to a temperature of about 400°C or less.
[0086] Clause 27. The non-transitory computer readable medium of any of clauses 21 to 26, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
[0087] Clause 28. The non-transitory computer readable medium of any of clauses 21 to 27, further comprising: exposing the substrate to a second process gas comprising a second silicon source gas and a second germanium source gas; and epitaxially and selectively depositing a second silicon germanium material on the substrate. [0088] Clause 29. The non-transitory computer readable medium of any of clauses 21 to 28, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[0089] Clause 30. A non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon germanium single crystal thereon; maintaining the substrate at a temperature of about 450°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas, an etchant gas, a carrier gas, and at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
[0090] Clause 31. The non-transitory computer readable medium of clause 30, wherein the boron containing dopant source gas is diborane.
[0091] Clause 32. The non-transitory computer readable medium of clause 30 or clause 31 , wherein the substrate is heated to a temperature of about 400°C or less.
[0092] Clause 33. The non-transitory computer readable medium of any of clauses 30 to 32, wherein the silicon source gas is silane, dichlorosilane, or disilane.
[0093] Clause 34. The non-transitory computer readable medium of any of clauses 30 to 33, wherein the germanium source gas is digermane, trigermane, tetragermane, GeCU, or GeHCh.
[0094] Clause 35. The non-transitory computer readable medium of any of clauses 30 to 34, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem. [0095] Clause 36. The non-transitory computer readable medium of any of clauses 30 to 35, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[0096] Clause 37. A non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of 400°C or less; exposing the substrate to a process gas comprising: a silicon source gas, a germanium source gas comprising germane or digermane, an etchant gas comprising one or more of HCI, HF, CI2, HBr, Br2, SiCU, SiHCh, SiFteCte, GeCU, and GeHCh, a carrier gas, and a dopant source gas comprising diborane; and epitaxially and selectively depositing a first silicon germanium material on the substrate.
[0097] Clause 38. The non-transitory computer readable medium of clause 37, wherein the silicon source gas is silane, dichlorosilane, or disilane.
[0098] Clause 39. The non-transitory computer readable medium of clause 37 or clause 38, wherein the process gas comprises: the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem; the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
[0099] Clause 40. The non-transitory computer readable medium of any of clauses 37 to 39, wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
[00100] While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is Claimed is:
1. A method of depositing a silicon germanium material on a substrate, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon;
maintaining the substrate at a temperature of about 450°C or less;
exposing the substrate to a process gas comprising:
a silicon source gas,
a germanium source gas,
an etchant gas,
a carrier gas, and
at least one dopant source gas; and
epitaxially and selectively depositing a first silicon germanium material on the substrate.
2. The method of claim 1 , wherein the dopant source gas is a boron containing dopant source gas, a phosphorus containing dopant source gas, or an arsenic containing dopant source gas.
3. The method of claim 2, wherein the boron containing dopant source gas is diborane.
4. The method of claim 1 , wherein the etchant gas is one or more of HCI, HF, CI2, HBr, B r2, SiCU, SiHCIs, SiH2CI2, GeCU, and GeHCls.
5. The method of claim 1 , wherein the silicon germanium material has a boron concentration in the doped SiGe material of from about 1x1015 atoms/cm3 to about 5x1021 atoms/cm3.
6. The method of claim 1 , wherein the substrate is heated to a temperature of about 400°C or less.
7. The method of claim 1 , wherein the process gas comprises:
the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem;
the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and
the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
8. The method of claim 1 , further comprising:
exposing the substrate to a second process gas comprising a second silicon source gas and a second germanium source gas; and
epitaxially and selectively depositing a second silicon germanium material on the substrate.
9. The method of claim 1 , wherein the substrate processing chamber is pressurized to a pressure of from about 0.1 Torr to about 200 Torr.
10. A method of depositing a silicon germanium material on a substrate, comprising: positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon;
maintaining the substrate at a temperature of about 450°C or less;
exposing the substrate to a process gas comprising:
a silicon source gas,
a germanium source gas,
an etchant gas,
a carrier gas, and
at least one dopant source gas comprising a boron containing dopant source gas or a phosphorus containing dopant source gas; and
epitaxially and selectively depositing a silicon germanium material on the substrate, the silicon germanium material having a resistivity of 0.3 mQ*cm.
11. The method of claim 10, wherein the substrate is heated to a temperature of about 400°C or less.
12. The method of claim 10, wherein the silicon source gas is silane, dichlorosilane, or disilane.
13. The method of claim 10, wherein the germanium source gas is digermane, trigermane, tetragermane, GeCU, or GeHCh.
14. The method of claim 10, wherein the process gas comprises:
the silicon source gas at a flow rate of from about 5 seem to about 500 seem; the germanium source gas at a flow rate of from about 0.1 seem to about 100 seem;
the carrier gas at a flow rate of from about 1 ,000 seem to about 60,000 seem; and
the dopant source gas at a flow rate of from about 0.01 seem to about 3 seem.
15. A non-transitory computer readable medium that includes instructions that cause a computer system to control a substrate processing apparatus to perform a process, comprising:
positioning the substrate within a substrate processing chamber, the substrate having a dielectric material and a silicon containing single crystal thereon; maintaining the substrate at a temperature of about 450°C or less;
exposing the substrate to a process gas comprising:
a silicon source gas,
a germanium source gas,
an etchant gas,
a carrier gas, and
at least one dopant source gas;
and epitaxially and selectively depositing a first silicon germanium material on the substrate.
PCT/US2019/041895 2018-07-30 2019-07-15 Method of selective silicon germanium epitaxy at low temperatures WO2020028028A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP19843773.3A EP3830860A4 (en) 2018-07-30 2019-07-15 Method of selective silicon germanium epitaxy at low temperatures
KR1020217006038A KR102501287B1 (en) 2018-07-30 2019-07-15 Selective silicon germanium epitaxy method at low temperatures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862711876P 2018-07-30 2018-07-30
US62/711,876 2018-07-30

Publications (1)

Publication Number Publication Date
WO2020028028A1 true WO2020028028A1 (en) 2020-02-06

Family

ID=69177479

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/041895 WO2020028028A1 (en) 2018-07-30 2019-07-15 Method of selective silicon germanium epitaxy at low temperatures

Country Status (6)

Country Link
US (1) US11018003B2 (en)
EP (1) EP3830860A4 (en)
KR (1) KR102501287B1 (en)
CN (1) CN110783171A (en)
TW (1) TWI828731B (en)
WO (1) WO2020028028A1 (en)

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
CN111525002B (en) * 2020-06-15 2022-05-03 中国科学院微电子研究所 Preparation method of silicon drift detector
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
TW202248476A (en) * 2021-05-17 2022-12-16 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing boron containing silicon germanium layers and field effect transistor including boron containing silicon germanium layer
EP4374417A1 (en) * 2021-07-23 2024-05-29 Applied Materials, Inc. Methods of formation of a sige/si superlattice
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
WO2007120866A2 (en) * 2006-04-14 2007-10-25 Mississippi State University Self-aligned method based on low-temperature selective epitaxial growth for fabricating silicon carbide devices
US20110124169A1 (en) * 2009-08-06 2011-05-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US20120295417A1 (en) * 2011-05-17 2012-11-22 International Business Machines Corporation Selective epitaxial growth by incubation time engineering
US20120295421A1 (en) * 2011-05-19 2012-11-22 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20140045324A1 (en) 2011-05-19 2014-02-13 Matheson Tri-Gas, Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US20150247259A1 (en) * 2011-02-23 2015-09-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
JP4866534B2 (en) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド Improved deposition method for semiconductor films.
US7682947B2 (en) 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP2008513979A (en) 2004-09-14 2008-05-01 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ Si-Ge semiconductor material and device growth method on a substrate
JP5696530B2 (en) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 Thin film forming method and film forming apparatus
EP2688089A1 (en) * 2012-07-17 2014-01-22 Imec Method for selective growth of highly doped group IV-Sn semiconductor materials
SG11201703228XA (en) 2014-10-30 2017-05-30 Applied Materials Inc Method to grow thin epitaxial films at low temperature
JP6624998B2 (en) * 2016-03-30 2019-12-25 東京エレクトロン株式会社 Method and apparatus for forming boron-doped silicon germanium film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
WO2007120866A2 (en) * 2006-04-14 2007-10-25 Mississippi State University Self-aligned method based on low-temperature selective epitaxial growth for fabricating silicon carbide devices
US20110124169A1 (en) * 2009-08-06 2011-05-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US20150247259A1 (en) * 2011-02-23 2015-09-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
US20120295417A1 (en) * 2011-05-17 2012-11-22 International Business Machines Corporation Selective epitaxial growth by incubation time engineering
US20120295421A1 (en) * 2011-05-19 2012-11-22 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20140045324A1 (en) 2011-05-19 2014-02-13 Matheson Tri-Gas, Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
AUBIN J ET AL.: "Very low temperature (450°C) selective epitaxial growth of heavily boron-doped SiGe layers", SEMICONDUCTOR SCIENCE TECHNOLOGY, vol. 30, no. 11, 5 October 2015 (2015-10-05), pages 1
See also references of EP3830860A4

Also Published As

Publication number Publication date
US11018003B2 (en) 2021-05-25
US20200035489A1 (en) 2020-01-30
TW202013453A (en) 2020-04-01
CN110783171A (en) 2020-02-11
EP3830860A4 (en) 2022-04-20
EP3830860A1 (en) 2021-06-09
KR20210027511A (en) 2021-03-10
TWI828731B (en) 2024-01-11
KR102501287B1 (en) 2023-02-21

Similar Documents

Publication Publication Date Title
US11018003B2 (en) Method of selective silicon germanium epitaxy at low temperatures
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US9064960B2 (en) Selective epitaxy process control
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
KR101432150B1 (en) Formation of epitaxial layers containing silicon
US8394196B2 (en) Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US20230223257A1 (en) Methods of epitaxially growing boron-containing structures
KR20070022046A (en) Methods to fabricate mosfet devices using selective deposition processes

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19843773

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217006038

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2019843773

Country of ref document: EP

Effective date: 20210301