WO2009042713A1 - Vapor deposition of tungsten materials - Google Patents

Vapor deposition of tungsten materials Download PDF

Info

Publication number
WO2009042713A1
WO2009042713A1 PCT/US2008/077561 US2008077561W WO2009042713A1 WO 2009042713 A1 WO2009042713 A1 WO 2009042713A1 US 2008077561 W US2008077561 W US 2008077561W WO 2009042713 A1 WO2009042713 A1 WO 2009042713A1
Authority
WO
WIPO (PCT)
Prior art keywords
tungsten
layer
substrate
precursor gas
depositing
Prior art date
Application number
PCT/US2008/077561
Other languages
French (fr)
Inventor
Sang-Hyeob Lee
Avgerinos V. Gelatos
Kai Wu
Amit Khandelwal
Ross Marshall
Emily Renuart
Wing-Cheong Lai
Jing Lin
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2009042713A1 publication Critical patent/WO2009042713A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • Embodiments of the invention relate to the processing of substrates. More particularly, embodiments of the invention relate to deposition of tungsten materials on substrates using vapor deposition processes.
  • CVD Chemical vapor deposition
  • ALD atomic layer deposition
  • Cyclical deposition or ALD evolved from atomic layer epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles.
  • the cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor, and the purge gas.
  • the first and second precursors react to form a product compound as a film on the substrate surface.
  • the cycle is repeated to form the layer to a desired thickness.
  • tungsten by conventional CVD process, however, is attendant with several disadvantages.
  • conventional CVD processes usually cause high aspect ratio (e.g., 20) vias to "pinch-off" and not completely fill during deposition of tungsten films.
  • blanket deposition of a tungsten layer on a semiconductor substrate is time-consuming at temperatures below 400 0 C.
  • the deposition rate of tungsten may be improved by increasing the deposition temperature to, for example, about 500 0 C to about 550 0 C.
  • temperatures in this higher range may compromise the structural and operational integrity of the underlying portions of the integrated circuit being formed.
  • tungsten has proven difficult to uniformly deposit, which typically increases film resistivity.
  • Embodiments of the invention provide an improved process for depositing tungsten-containing materials.
  • the process utilizes soak processes and vapor deposition process to provide tungsten-containing materials having significantly improved conductivity and surface uniformity, while increasing the production level throughput.
  • a method for forming a tungsten-containing material on a substrate includes positioning the substrate within a processing chamber, exposing the substrate to a continuous flow of a silicon precursor gas while exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit a tungsten suicide layer thereon.
  • the substrate is exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1.
  • the silicon/tungsten precursor flow rate ratio may be about 2, about 3, or greater.
  • the method further provides depositing a tungsten nitride layer on the tungsten suicide layer, depositing a tungsten nucleation layer on the tungsten nitride layer, and depositing a tungsten bulk layer on the tungsten nucleation layer.
  • the silicon precursor gas may be introduced into the processing chamber with a flow rate of about 120 seem and the tungsten precursor gas may be introduced with a flow rate of about 60 seem.
  • the method further provides exposing the tungsten nitride barrier layer to a pre-soak gas containing a reducing agent during a pre-soak process prior to depositing the tungsten nucleation layer.
  • the method may include exposing the tungsten nucleation layer to a post-soak gas containing a reducing agent during a post-soak process.
  • the reducing agent for the pre- or post-soak may independently contain silane, disilane, borane, diborane, phosphine, hydrogen, derivatives thereof, or combinations thereof.
  • the substrate may be heated to a temperature within a range from about 350 0 C to about 400 0 C, and more preferably, at about 380 0 C during the vapor deposition process of the tungsten suicide layer.
  • the processing chamber may have an internal pressure within a range from about 1 Torr to about 10 Torr, and more preferably, from about 5 Torr to about 10 Torr during the vapor deposition process of the tungsten suicide layer.
  • the carrier gas may contain argon, nitrogen, hydrogen, or mixtures thereof and have a flow rate of about 3,600 seem.
  • the tungsten suicide layer may be deposited by a vapor deposition process, such as by exposing the substrate to a continuous flow of a silicon precursor gas and exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit the tungsten suicide layer.
  • the tungsten suicide layer may have a resistivity measured across the substrate of about 225 ⁇ -cm or less and a thickness within a range from about 30 A to about 200 A.
  • a method for forming a tungsten-containing material on a substrate includes exposing the substrate to a continuous flow of a silicon precursor gas while exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit a tungsten suicide layer.
  • the method further provides depositing a tungsten nitride layer on the tungsten suicide layer during an ALD process, exposing the substrate to a pre-soak gas containing a reducing agent during a pre-soak process, depositing a tungsten nucleation layer on the tungsten nitride layer during another ALD process, exposing the tungsten nucleation layer to a post-soak gas containing the reducing agent during a post-soak process, and depositing a tungsten bulk layer on the tungsten nucleation layer.
  • a method for forming a tungsten-containing material on a substrate includes positioning the substrate within a processing chamber, wherein the substrate already contains a tungsten suicide layer disposed thereon, and depositing a tungsten nitride layer on the tungsten suicide layer.
  • the method further provides exposing the tungsten nitride layer to a pre-soak gas containing silane during a pre-soak process, exposing the substrate sequentially to a tungsten precursor and a reducing gas to deposit a tungsten nucleation layer on the tungsten nitride layer during an ALD process, exposing the substrate to a post- soak gas containing silane during a post-soak process, and depositing a tungsten bulk layer on the tungsten nucleation layer.
  • Figure 1 illustrates a flow chart depicting a process sequence for forming a tungsten suicide layer using a vapor deposition technique according to an embodiment described herein;
  • Figure 2 illustrates a flow chart depicting a process sequence for the forming of a tungsten-containing material according to another embodiment described herein;
  • Figures 3A-3B depict an exemplary integrated processing platforms that may be used during process described herein;
  • Figures 4A-4E depicts a cross sectional view of a substrate during various stages of a process, according to another embodiment described herein;
  • Figure 5 depicts a cross sectional view of a conventional DRAM device formed according to an embodiment of the invention.
  • Embodiments of the invention provide an improved process for depositing tungsten-containing materials.
  • the process utilizes tungsten containing gas and vapor deposition process to provide tungsten-containing materials having significantly improved conductivity and surface uniformity, while increasing the production level throughput.
  • a method for forming a tungsten- containing material on a substrate includes exposing the substrate to a continuous flow of a silicon precursor gas and intermittent pulses of tungsten precursor gas to deposit a tungsten suicide layer on a substrate during a vapor deposition process.
  • the substrate may be exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1.
  • the silicon/tungsten precursor flow rate ratio is about 2:1 or greater, such as about 3:1 or greater.
  • the silicon precursor gas may have a continuous flow rate, but does not necessary have a consistent flow rate.
  • the tungsten precursor gas may be intermittent pulsed into the silicon precursor gas or otherwise into the processing chamber to expose the substrate.
  • a tungsten nitride barrier layer may be deposited over the tungsten suicide layer.
  • a tungsten nucleation layer may be deposited over the tungsten nitride barrier layer, and a tungsten bulk layer may be deposited over the tungsten nucleation layer.
  • FIG. 1 illustrates an exemplary process 100 for forming a tungsten suicide material according to one embodiment of the invention.
  • a substrate to be processed is first exposed to a continuous flow of a silicon precursor gas (step 110). Pulses of a tungsten precursor gas are intermittently exposed to the substrate while flowing the silicon precursor gas (step 120). The tungsten precursor gas and the silicon precursor gas may be introduced together or independently into the processing chamber.
  • the substrate When the tungsten precursor gas is on, that is, both the tungsten and the silicon precursor gases are flowing into the processing chamber, the substrate may be exposed to the tungsten precursor gas for a first time period within a range from about 0.05 seconds to about 5 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.2 seconds to about 1 second, for example, about 0.5 seconds.
  • the substrate is exposed to the silicon precursor gas for another or second time period within a range from about 0.05 seconds to about 5 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.2 seconds to about 1 second, for example, about 1 second.
  • the silicon precursor gas may be used as a purge gas which purges or otherwise removes any residual tungsten-containing precursor or by-products.
  • a carrier gas may be co-flowed with the silicon precursor gas and/or the tungsten precursor gas. Suitable carrier gases include argon, nitrogen, hydrogen, or combinations thereof.
  • the processing chamber may be optionally exposed to a purge step after a predetermined number of pulses of the tungsten precursor gas.
  • the processing chamber may be partially or substantially evacuated, flushed with a purge gas, or both.
  • the purge gas may include argon, nitrogen, hydrogen, or combinations thereof.
  • step 132 the flow of the silicon precursor gas and the tungsten precursor gas may be stopped and the processing chamber may be exposed to the purge gas in step 134.
  • a throttle valve may be used to control the internal pressure of the processing chamber.
  • the processing chamber is usually evacuated to remove gases therein, prior to, during, or after flowing the purge gas into the processing chamber.
  • the flow of the silicon precursor gas may be stopped before the flow of the tungsten precursor gas. In another example, the flow of the tungsten precursor gas may be stopped before the flow of the silicon precursor gas.
  • the deposition cycle (steps 110 and 120) is repeated until a desired thickness of the tungsten suicide material is achieved, per step 140. The option to conduct or repeat step 130 is also provided upon repeating the deposition cycle.
  • each deposition cycle may form a layer of tungsten suicide material having a thickness within a range from about 10 A to about 12 A.
  • subsequent deposition cycles may be performed to deposit tungsten suicide layer having a predetermined desired thickness.
  • the deposition cycle (steps 110 and 120) may be repeated to form the tungsten suicide material having the desired thickness.
  • the tungsten suicide material may be deposited to a thickness within a range from about 50 A to about 200 A. Thereafter, process 100 may be stopped upon achieving the desired thickness.
  • the substrate may be heated before exposing to the silicon precursor gas or during steps 110 or 120.
  • the substrate Prior to or during process 100, the substrate may be heated to a process temperature within a range from about 200 0 C to about 500 0 C, preferably, from about 300 0 C to about 450 0 C, and more preferably, from about 350 0 C to about 400 0 C, such as about 380 0 C.
  • the temperature of the substrate may be maintained for the subsequent vapor deposition process.
  • Process 100 is typically performed in a processing chamber having a internal pressure of about 760 Torr or less, preferably, within a range from about 0.1 Torr to about 100 Torr, more preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 2 Torr to about 10 Torr.
  • the internal pressure of the processing chamber may be within a range from about 5 Torr to about 10 Torr.
  • the deposition process usually lasts for a time period within a range from about 1 second to about 90 seconds. In one example, the deposition process lasts for about 60 seconds or less. In another example, the deposition process lasts for about 30 seconds or less. In another example, deposition the process lasts for about 10 seconds.
  • Steps 110 and 120 provide a continuous flow of the silicon precursor gas into the processing chamber whereas the tungsten precursor gas is intermittently pulsed or added into the processing chamber.
  • the tungsten precursor gas may be intermittently pulsed or added into a stream of carrier gas and/or the continuous stream of the silicon precursor gas or directly into the processing chamber. Regardless, the substrate is exposed to intermittent pulses of the tungsten precursor gas.
  • Each processing step (steps 110 and 120) lasts within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.1 seconds to about 5 seconds.
  • the substrate may be exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1.
  • the silicon/tungsten precursor flow rate ratio is about 2:1 or greater, such as about 3:1 or greater, about 4:1 or greater, about 5:1 or greater, or even about 10:1 or greater.
  • the silicon precursor gas may have a flow rate within a range from about 50 seem to about 300 seem, preferably, from about 80 seem to about 200 seem, more preferably, from about 100 seem to about 150 seem.
  • the tungsten precursor gas may have a flow rate within a range from about 10 seem to about 200 seem, preferably, from about 30 seem to about 100 seem, more preferably, from about 50 seem to about 80 seem.
  • the precursor gases, such as the tungsten and/or silicon precursor gases may be introduced into the processing chamber with or without a carrier gas.
  • the carrier gas may have a flow rate within a range from about 50 seem to about 4,000 seem, for example, about 3,600 seem.
  • the silicon precursor gas may have a flow rate of about 60 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is greater than 1 , such as about 1.2.
  • the silicon precursor gas may have a flow rate of about 90 seem and the tungsten precursor gas may have a flow rate of about 60 seem, therefore the silicon/tungsten precursor flow rate ratio is greater than 1 , such as about 1.5.
  • the silicon precursor gas may have a flow rate of about 120 seem and the tungsten precursor gas may have a flow rate of about 60 seem, therefore the silicon/tungsten precursor flow rate ratio is about 2.
  • the silicon precursor gas may have a flow rate of about 150 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is about 3.
  • the silicon precursor gas may have a flow rate of about 200 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is about 4.
  • a vapor deposition processing chamber used during embodiments described herein is available from Applied Materials, Inc., located in Santa Clara, California.
  • Software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed during the deposition process.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to some embodiments of the invention.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other types of hardware implementation, or a combination of software or hardware.
  • FIG. 2 illustrates process 200 for the forming of a tungsten-containing material according to another embodiment described herein.
  • the substrate may be optionally exposed to a pre-clean process.
  • the substrate usually contains a silicon containing surface (e.g., suicide) disposed thereon and is exposed to a vapor deposition process during step 220.
  • the vapor deposition process includes exposing the substrate to a continuous flow of a silicon precursor gas and intermittent pulses of a tungsten precursor gas while depositing a tungsten suicide layer onto the substrate.
  • a tungsten nitride barrier layer is deposited on or over the substrate containing the tungsten suicide layer.
  • the tungsten nitride barrier layer may be deposited by an ALD process.
  • the substrate containing the tungsten nitride barrier layer may be exposed to a pre-soak process or a first soak process in step 240.
  • the soak process includes delivering pre-soak gas or a reducing gas containing a reductant or a reducing agent into the processing chamber.
  • the substrate surface forms another treated layer, such as a reduced barrier layer.
  • a nucleation layer (e.g., tungsten) is deposited on or over the substrate containing the barrier layer.
  • the nucleation layer may be deposited by a vapor deposition process that includes PVD, ALD, CVD, or pulsed- CVD.
  • the substrate containing the nucieation layer may be exposed to post-soak process or a second soak process with the same or a different reductant as used in step 240.
  • a bulk layer e.g., tungsten
  • the bulk layer may be deposited by a CVD process.
  • the substrate may be exposed to a pre-clean process.
  • the substrate usually contains silicon, polysilicon, or silicon containing surface (e.g., suicide) disposed thereon and may be exposed to pre-clean solution, vapor, or plasma during a pre-clean process.
  • the substrate is exposed to a reducing agent in gaseous form, such as silane, disilane, diborane, hydrogen, phosphine, or derivatives thereof.
  • a carrier gas may be co-flowed with the reducing agent.
  • Carrier gases include hydrogen, nitrogen, argon, or combinations thereof.
  • the substrate is exposed to a plasma pre-clean process.
  • the plasma may be generated internal (e.g., in situ plasma) or generated externally (e.g., remote plasma system).
  • the substrate may be exposed to a plasma formed from a gas or a gaseous mixture containing argon, helium, neon, hydrogen, nitrogen, ammonia, silane, disilane, diborane, or mixtures thereof.
  • the plasma may be formed from a hydrogen and ammonia mixture, a hydrogen and nitrogen mixture, or a nitrogen and ammonia mixture.
  • a tungsten suicide material may be deposited on or over the substrate by a vapor deposition process subsequent the optional pre-clean process.
  • the tungsten suicide material may be deposited by process 100, as described herein.
  • the substrate surface is exposed to a continuous flow of silicon precursor gas and intermittent pulses of tungsten precursor gas while a tungsten suicide layer is deposited onto the substrate.
  • the silicon precursor gas contains silane and may be introduced into the processing chamber with a flow rate of about 120 seem, while the tungsten precursor gas contains tungsten hexafluoride and may be introduced into the processing chamber with a flow rate of about 60 seem.
  • the tungsten suicide material may be deposited by other ALD or CVD processes.
  • a tungsten nitride barrier layer may be disposed on or over the tungsten suicide layer.
  • the tungsten nitride barrier layer may contain one or multiple layers. Each of the layers of the tungsten nitride barrier layer may contain metallic tungsten, tungsten nitride, tungsten suicide nitride, tungsten boride, nitride, derivatives thereof, alloys thereof, or combinations thereof.
  • the tungsten nitride barrier layer may be deposited by an ALD process.
  • the tungsten nitride barrier layer may be deposited to a thickness of at least about 30 A, such as within a range from about 30 A to about 100 A, preferably, about 60 A.
  • a tungsten nitride barrier layer is deposited on or over the tungsten suicide layer by an ALD process by sequentially pulsing tungsten precursor gas and a nitrogen precursor gas into the processing chamber to expose the substrate surface.
  • the tungsten precursor gas may contain tungsten hexafluoride and may be introduced into the processing chamber at a rate of about 60 seem.
  • the nitrogen precursor gas may contain ammonia and may be introduced into the processing chamber at a rate at a rate of about 200 seem.
  • the purge gas may contain silane or hydrogen and be introduced into the processing chamber at a rate of about 1 ,000 seem.
  • the tungsten precursor gas may contain a carrier gas, such as argon or nitrogen, having a flow rate of about 4,000 seem.
  • the process conditions, such as temperature and pressure, set during step 220 may be used or altered during step 230.
  • the processing chamber is adjusted to heat the substrate at a process temperature within a range from about 200 0 C to about 500 0 C, preferably, from about 300 0 C to about 450 0 C, and more preferably, from about 350 0 C to about 400 0 C, for example, about 380 0 C, during the steps 220 and 230.
  • the processing chamber may have an internal pressure of about 760 Torr or less, preferably, within a range from about 0.1 Torr to about 100 Torr, more preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 2 Torr to about 10 Torr.
  • the processing chamber used during the pre-clean process may be the same processing chamber as used to deposit the layer and the barrier layer.
  • the processing chamber used during the deposition of the tungsten suicide material and the barrier layer may be the same processing chamber as used to deposit subsequent soak process and nucleation layer during steps 240, 250, and 260.
  • the substrate surface may be optionally exposed to a reducing gas containing a reductant and an optional carrier gas during the pre-soak process.
  • the pre-soak process is generally a thermal process, but may be a plasma process.
  • the reductant adsorbs and/or reacts to the substrate surface containing the barrier layer to form a treated surface.
  • the treated surface provides a quicker deposition process for a subsequently deposited material.
  • the reductants may include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, or combinations thereof.
  • Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, or derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, alkylboranes, such as triethylborane, or derivatives thereof.
  • Some specific reductants include silane, disilane, diborane, hydrogen, derivatives thereof, or combinations thereof.
  • a carrier gas may be co-flowed with the reductant.
  • Carrier gases include hydrogen, nitrogen, argon, helium, or combinations thereof.
  • the substrate may be exposed to the pre-soak gas or reducing gas during the pre-soak process in step 240 for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, more preferably, from about 10 seconds to about 30 seconds, and more preferably, from about 15 seconds to about 20 seconds.
  • the barrier layer on the substrate surface may be exposed to a pre-soak gas while the substrate is heated to a temperature within a range from about 100 0 C to about 600 0 C, preferably, from about 200 0 C to about 600 0 C, more preferably, from about 300 0 C to about 500 0 C, more preferably, from about 350 0 C to about 420 0 C, and more preferably, from about 375°C to about 500 0 C during the pre-soak process.
  • the processing chamber may have internal pressure within a range from about 0.1 Torr to about 150 Torr, preferably, from about 1 Torr to about 100 Torr, more preferably, from about 10 Torr to about 50 Torr, and more preferably, from about 20 Torr to about 40 Torr.
  • the barrier layer disposed on or over the substrate may be reduced and/or adsorbs the reductant to form a conditioned layer for the subsequent nucleation layer.
  • a nucleation layer is deposited on or over the substrate surface containing the tungsten nitride barrier layer.
  • the nucleation layer may be deposited by a vapor deposition process such as ALD, CVD, and/or pulsed-CVD.
  • the processing chamber used to deposit the nucleation layer may be the same processing chamber used in the soak processes as described in steps 240 and 260.
  • the nucleation layer may contain metallic tungsten, tungsten boride, tungsten suicide, other tungsten alloys, derivatives thereof, or combinations thereof.
  • the nucleation layer is typically deposited to a thickness within a range from about 2 A to about 200 A.
  • a nucleation layer having a thickness within a range from about 2 A to about 50 A, such as about 30 A is deposited on the substrate which is sequentially exposed to tungsten hexafluoride and reducing agent (e.g., silane or diborane) during an ALD process.
  • a nucleation layer having a thickness within a range from about 2 A to about 200 ⁇ , such as about 50 A is deposited on the substrate which is simultaneously exposed to tungsten hexafluoride and reducing agent (e.g., silane or diborane) during a pulsed-CVD process.
  • the substrate surface may be optionally exposed to another or second reducing gas containing a reductant and an optional carrier gas during the post-soak process.
  • the post-soak process is generally a thermal process, but may be a plasma process.
  • the reductant adsorbs and/or reacts to the substrate surface containing the nucleation layer to form a treated surface.
  • the treated surface provides a quicker deposition process for a subsequently deposited material.
  • the reductants may include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, or combinations thereof.
  • Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, or derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, alkylboranes, such as triethylborane, or derivatives thereof.
  • Some specific reductants include silane, disilane, diborane, hydrogen, derivatives thereof, or combinations thereof.
  • a carrier gas may be co-flowed with the reductant.
  • Carrier gases include hydrogen, nitrogen, argon, helium, or combinations thereof.
  • the substrate containing the nucleation layer may be exposed to the post- soak gas or reducing gas during the post-soak process in step 260 for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, more preferably, from about 10 seconds to about 30 seconds, and more preferably, from about 15 seconds to about 20 seconds.
  • the nucleation layer on the substrate surface may be exposed to a post-soak gas while the substrate is heated to a temperature within a range from about 100 0 C to about 600 0 C, preferably, from about 200 0 C to about 600 0 C, more preferably, from about 300 0 C to about 500 0 C, more preferably, from about 350 0 C to about 420 0 C, and more preferably, from about 375°C to about 500 0 C during the post-soak process.
  • the processing chamber may have internal pressure within a range from about 0.1 Torr to about 150 Torr, preferably, from about 1 Torr to about 100 Torr, more preferably, from about 10 Torr to about 50 Torr, and more preferably, from about 20 Torr to about 40 Torr.
  • the nucleation layer disposed on or over the substrate may be reduced and/or adsorbs the reductant to form a conditioned layer for the subsequent bulk layer.
  • a bulk layer may be deposited on or over the substrate surface containing the nucleation layer.
  • the bulk layer may be deposited by a vapor deposition process that includes CVD or pulsed-CVD.
  • the processing chamber used to deposit the bulk layer may be the same processing chamber used in the post-soak process as described in step 260.
  • the bulk layer may contain metallic tungsten, tungsten alloys, tungsten-containing materials ⁇ e.g., tungsten boride, tungsten suicide, or tungsten phosphide), or combinations thereof.
  • t a tungsten bulk layer may be deposited on or over the nucleation layer on the substrate which is simultaneously exposed to tungsten hexafluoride and hydrogen gas during a CVD process.
  • a PVD process utilizing a tungsten source is used to deposit a bulk layer on or over the nucleation layer. Processes for soaking a tungsten nucleation layer and depositing a tungsten bulk layer thereon are further described in the commonly assigned U.S. Pat. No. 6,156,382, which is incorporated herein by reference. Process Integration
  • a tungsten-containing layer and barrier layer as described above has shown particular utility when integrated with traditional nucleation fill techniques to form features with excellent film properties.
  • An integration scheme can include ALD, CVD, pulsed-CVD processes, or combinations thereof, to deposit tungsten- containing layer and barrier layer while a nucleation layer may be deposited by ALD process.
  • Integrated processing systems capable of performing such an integration scheme include ENDURA ® , ENDURA SL ® , CENTURA ® , or PRODUCER ® processing systems, each available from Applied Materials, Inc., located in Santa Clara, California.
  • Any of these systems may be configured to include at least one ALD chamber for depositing the tungsten-containing layer and barrier layer, at least one ALD or pulsed-CVD chamber for depositing the nucleation layer, at least one CVD chamber for depositing bulk fill, and/or at least one PVD chamber for additional materials.
  • one ALD or CVD chamber may be configured to perform all vapor deposition processes related to the tungsten-containing layers.
  • FIG. 3A depicts a schematic top-view diagram of an exemplary multi- chamber processing system 300.
  • Processing system 300 generally includes load lock chambers 302 and 304 for the transfer of substrates into and out from processing system 300.
  • load lock chambers 302 and 304 may "pump down" the substrates introduced into processing system 300.
  • First robot 310 may transfer the substrates between load lock chambers 302 and 304, and a first set of one or more substrate processing chambers 312, 314, 316, and 318 (four are shown).
  • Each processing chamber 312, 314, 316, and 318 may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, orientation, or other substrate processes.
  • First robot 310 also transfers substrates to/from one or more transfer chambers 322 and 324.
  • Transfer chambers 322 and 324 are used to maintain ultra-high vacuum conditions while allowing substrates to be transferred within processing system 300.
  • Second robot 330 may transfer the substrates between transfer chambers 322 and 324 and a second set of one or more processing chambers 332, 334, 336, and 338.
  • processing chambers 332, 334, 336, and 338 may be outfitted to perform a variety of substrate processing operations, such as ALD, CVD, PVD, etch, pre-clean, de-gas, or orientation. Any of processing chambers 312, 314, 316, 318, 332, 334, 336, and 338 may be removed from processing system 300 if not necessary for a particular process to be performed by processing system 300. Microprocessor controller 320 may be used to operate all aspects of processing system 300.
  • each processing chamber 332 and 338 may be an ALD chamber or other vapor deposition chamber adapted to deposit sequential layers containing different chemical compound.
  • the sequential layers may include a layer, a barrier layer, and a nucleation layer.
  • Processing chambers 334 and 336 may be an ALD chamber, a CVD chamber, or a PVD adapted to form a bulk layer.
  • Processing chambers 312 and 314 may be a PVD chamber, a CVD chamber, or an ALD chamber adapted to deposit a dielectric layer.
  • processing chambers 316 and 318 may be an etch chamber outfitted to etch apertures or openings for interconnect features. This one particular arrangement of processing system 300 is provided to illustrate some embodiments of the invention and should not be used to limit the scope of other embodiments of the invention.
  • one or more ALD chambers are integrated onto a first processing system while one or more bulk layer deposition chambers are integrated onto a second processing system.
  • substrates are first processed in the first system where a layer, a barrier layer and a nucleation layer is deposited on a substrate sequentially. Thereafter, the substrates are moved to the second processing system where bulk deposition occurs.
  • a system may include nucleation deposition as well as bulk fill deposition in a single chamber.
  • a chamber configured to operate in both an ALD mode as well as a conventional CVD mode may be used in processes described herein.
  • One example of such a chamber is described in commonly assigned U.S. Pat. No. 6,878,206, which is incorporated herein by reference.
  • the multi-chamber processing system 350 generally includes load lock chambers 352, 354 for the transfer of substrates into and out from processing system 350.
  • load lock chambers 352, 354 may "pump down" the substrates introduced into processing system 350.
  • Robot 360 may transfer the substrates between load lock chambers 352, 354, and processing chambers 362, 364, 366, 368, 370, and 372.
  • Each processing chamber 362, 364, 366, 368, 370, and 372 may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes.
  • Robot 360 also transfers substrates to/from transfer chamber 356. Any of processing chambers 362, 364, 366, 368, 370, and 372 may be removed from processing system 350 if not necessary for a particular process to be performed by processing system 350.
  • Microprocessor controller 380 may be used to operate all aspects of processing system 350.
  • each processing chamber 364 and 370 may be an ALD chamber adapted to deposit a nucleation layer
  • each processing chamber 366 and 368 may be an ALD chamber, a CVD chamber or a PVD chamber adapted to form a bulk fill deposition layer.
  • the aforementioned sequential layers may all be deposited in each of processing chamber 364, 366, 368, 370, and 372 as each chamber may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes.
  • the sequential layers may include a layer, a barrier layer, a nucleation layer, and a bulk layer.
  • the layer may have a thickness within a range from about 1 A to about 10 A, for example, from about 5 A to about 10 A.
  • the barrier layer is usually deposited to a thickness of at least about 30 A, such as within a range from about 30 A to about 100 A, preferably, at about 60 A.
  • the nucleation layer may have a thickness within a range from about 2 A to about 200 A, for example, from about 5 A to about 100 A and the bulk layer may have a thickness within a range from about 100 A to about 10,000 A, for example, from about 1 ,000 A to about 5,000 A.
  • the thickness of these films can vary depending on the feature sizes and aspect ratios of a given application. Accordingly, the films are suitably sized to accommodate the geometries of a given application. The following are some exemplary geometries and applications that may benefit from a nucleation layer deposited according to embodiments described herein.
  • Figures 4A-4E show cross sectional views of a semiconductor feature that one embodiment of the process is utilized to fill via 460.
  • substrate 400 includes at least one via 460 formed within substrate surface 450.
  • the substrate surface 450 may be a silicon containing surface.
  • a suicide layer 452 may be deposited by exposing the substrate to a continuous flow of a silicon precursor gas, and exposing the substrate to pulses of a tungsten precursor gas to the substrate surface 450.
  • barrier layer 454 may be deposited by ALD, CVD, or PVD techniques onto adhesion layer 452 and substrate 400 with via 460 in Figure 4C.
  • Adhesion layer 452 and barrier layer 454 may contain metallic tungsten, tungsten nitride, tungsten boride, tungsten boride nitride, tungsten suicide, tungsten suicide nitride, tungsten phosphide, derivatives thereof, alloys thereof, or combinations thereof.
  • adhesion layer 452 may contain metallic tungsten, tungsten suicide, tungsten boride, or alloys thereof.
  • barrier layer 454 may contain tungsten nitride, tungsten suicide nitride, tungsten boride nitride, or alloys thereof.
  • a soak process may be administered to barrier layer 454.
  • the soak process renders the sidewalls, of barrier layer 454 within via 460, to adhere and grow tungsten nucleation layer 456 at about the same rate as barrier layer 454 outside via 460.
  • growth of tungsten nucleation layer 456 on the sidewalls is not constant with respect to the growth of tungsten nucleation layer 456 outside via 460.
  • a secondary soak or post-soak process is conducted to treat tungsten bulk layer 458.
  • the post-soak process provides tungsten bulk layer 458 to more smoothly continue growth while filling via 460, as demonstrated in Figure 4E.
  • FIG. 5 is a cross sectional view of a conventional DRAM device having access transistor 520 positioned adjacent a top portion of trench capacitor 530.
  • Access transistor 520 for DRAM device 510 is positioned adjacent a top portion of trench capacitor 530.
  • access transistor 520 contains a n-p-n transistor having source region 522, gate region 524, and drain region 526.
  • Gate region 524 is a P " doped silicon epi-layer disposed over the P + substrate.
  • Source region 522 of access transistor 520 is a N + doped material disposed on a first side of gate region 524 and drain region 526 is a N + doped material disposed on a second side of gate region 524, opposite source region 522.
  • Source and drain regions 522 and 524 may be connected to tungsten plug 560.
  • Each tungsten plug 560 includes tungsten-containing material layer 562, tungsten nucleation layer 564, and bulk tungsten fill 566.
  • the tungsten-containing material layer 562 may be a bi-layer stack comprising vapor deposited tungsten suicide followed by ALD deposited tungsten nitride.
  • Tungsten nucleation layer 564 may be formed by using a soak process and an ALD process or a soak process and a pulsed-CVD process as described above.
  • Tungsten bulk fill 566 may be deposited by using a post-soak process followed by a CVD process.
  • Trench capacitor 530 generally includes first electrode 532, second electrode 534 and dielectric material 536 disposed therebetween.
  • the P + substrate serves as first electrode 532 of trench capacitor 530 and is connected to ground connection 541.
  • Trench 538 is formed in the P + substrate and filled with a heavily doped N + polysilicon that serves as second electrode 534 of trench capacitor 530.
  • Dielectric material 536 is disposed between first electrode 532 (e.g., P + substrate) and second electrode 534 ⁇ e.g., N + polysilicon).
  • Tungsten liner 562 may be a bi- layer stack comprising vapor deposited tungsten suicide followed by ALD deposited tungsten nitride.
  • Trench capacitor 530 also includes a first layer containing tungsten liner 540 disposed between dielectric material 536 and first electrode 532.
  • Tungsten liner 540 may be a bi-layer stack comprising tungsten suicide and tungsten nitride.
  • a second layer containing tungsten liner 542 is disposed between dielectric material 536 and second electrode 534.
  • tungsten liners 540 and 542 are a combination film, such as metallic tungsten/titanium nitride.
  • DRAM device utilizes an n-p-n transistor, a P + substrate as a first electrode, and an N + polysilicon as a second electrode of the capacitor
  • other transistor designs and electrode materials are contemplated by the present invention to form DRAM devices.
  • other devices such as crown capacitors for example, are contemplated by the present invention.
  • Substrate surface refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, and/or carbon doped silicon oxides, for example, BLACK DIAMOND ® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • SOI silicon on insulator
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes, such as maybe used for LCDs or solar panel processing. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter.
  • Embodiments of the processes described herein may be used to deposit metallic tungsten, tungsten nitride, tungsten boride, tungsten boride nitride, tungsten suicide, tungsten suicide nitride, tungsten phosphide, derivatives thereof, alloys thereof, combinations thereof, or other tungsten-containing materials on many substrates and surfaces, especially, on barrier layers, layers, or conductive layers.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface.
  • semiconductor wafers such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers.
  • substrate surface such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafer
  • Atomic layer deposition or “cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the two, three or more reactive compounds may alternatively be introduced into a reaction zone of a processing chamber.
  • each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon or nitrogen
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the purge gas may also be a reducing agent, such as hydrogen, diborane, or silane.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is an ALD cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • a first precursor containing compound A, a second precursor containing compound B, and a third precursor containing compound C are each separately and alternatively pulsed into the processing chamber.
  • a first precursor containing compound A and a second precursor containing compound B are each separately and alternatively pulsed into the processing chamber while, and a third precursor containing compound C is continuously flowed into the processing chamber.
  • a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors.
  • a "pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • the duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the processing chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
  • Example 1 The substrate was placed into a deposition chamber and exposed to a vapor deposition process to form a tungsten suicide layer under the following conditions:
  • Pulse durations of WF ⁇ about 0.5 seconds (on), about 0.5 seconds (off).
  • This vapor deposition cycle was continued until the tungsten suicide layer had a thickness of about 200 A (about 30 cycles).
  • a tungsten nitride barrier layer was formed on the tungsten suicide layer in the deposition chamber using an ALD process under the following conditions:
  • Reagent SiH 4 , WFe, and NHs
  • Pulse duration SiH 4 dose for about 1.5 seconds, SiH 4 purge for about 1.5 seconds, WF 6 dose for about 0.5 seconds, WF 6 purge for about 2.0 seconds, NHe dose for about 2.0 seconds, and NH3 purge for about 2.0 seconds.
  • tungsten nucleation layer was formed on the tungsten nitride barrier layer in the deposition chamber using an ALD process under the following conditions:
  • Reagents WF 6 , SiH 4 , and argon;
  • Flow rates about 60 seem of WF 6 , about 100 seem of 5% SiH 4 in argon, and about 6,000 seem of argon; Pulse duration: WF 6 dose for about 0.5 seconds, WF 6 purge for about 2.0 seconds, SiH 4 dose for about 1.5 seconds, and SiH 4 purge for about 1.5 seconds.
  • the ALD process was continued until the nucleation layer had a thickness of about 25 A. Thereafter, a bulk tungsten layer was deposited WF 6 -H 2 to a thickness of about 500 A on the second nucleation layer using a CVD process at about 400 0 C.
  • Example 2 The substrate was placed into a deposition chamber and exposed to a vapor deposition process to form a tungsten suicide layer under the following conditions:
  • Pulse duration of WF ⁇ about 0.5 seconds (on), about 0.5 seconds (off);
  • tungsten nitride barrier layer was formed on the tungsten suicide layer in the deposition chamber using an ALD process under the following conditions:
  • Reagent SiH 4 , WFe, and NHa
  • Pulse duration SiH 4 dose for about 1.5 seconds, SiH 4 purge for about 1.5 seconds, WF 6 dose for about 0.5 seconds, WF 6 purge for about 2.0 seconds, NH3 dose for about 2.0 seconds, and NH3 purge for about 2.0 seconds.
  • the substrate was placed into a deposition chamber and exposed to a soak process under the following conditions: Reagent: SiH 4 ;
  • Duration about 24 seconds.
  • tungsten nucleation layer was formed on the tungsten nitride barrier layer in the deposition chamber using an ALD process under the following conditions:
  • Reagents WF 6 , SiH 4 , and argon; Pressure: about 5 Torr; Temperature: about 400 0 C;
  • Pulse duration WF 6 dose for about 0.5 seconds, WF 6 purge for about 2.0 seconds, SiH 4 dose for about 1.5 seconds, and SiH 4 purge for about 1.5 seconds.
  • the ALD process was continued until the nucleation layer had a thickness of about 25 A. Thereafter, the substrate was kept in the deposition chamber and exposed to a second soak process under the following conditions:
  • Duration about 24 seconds.
  • a bulk tungsten layer was deposited WF 6 -H 2 to a thickness of about 500 A on the second nucleation layer using a CVD process at about 400 0 C.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Embodiments of the invention provide an improved process for depositing tungsten-containing materials. The process utilizes soak processes and vapor deposition processes to provide tungsten films having significantly improved surface uniformity while increasing the production level throughput. In one embodiment, a method is provided which includes depositing a tungsten silicide layer on the substrate by exposing the substrate to a continuous flow of a silicon precursor while also exposing the substrate to intermittent pulses of a tungsten precursor. The method further provides that the substrate is exposed to the silicon and tungsten precursors which have a silicon/tungsten precursor flow rate ratio of greater than 1, for example, about 2, about 3, or greater. Subsequently, the method provides depositing a tungsten nitride layer on the tungsten silicide layer, depositing a tungsten nucleation layer on the tungsten nitride layer, and depositing a tungsten bulk layer on the tungsten nucleation layer.

Description

VAPOR DEPOSITION OF TUNGSTEN MATERIALS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention relate to the processing of substrates. More particularly, embodiments of the invention relate to deposition of tungsten materials on substrates using vapor deposition processes.
Description of the Related Art
[0002] Semiconductor and electronics processing industries continue to strive for larger production yields while increasing the uniformity of layers deposited on substrates having larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per area of the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer thickness rises. As a result, various technologies have been developed to deposit layers on substrates in a cost-effective manner, while maintaining control over the characteristics of the layer.
[0003] Chemical vapor deposition (CVD) is one of the most common deposition processes employed for depositing layers on a substrate. CVD is a flux-dependent deposition technique that requires precise control of the substrate temperature and the precursors introduced into the processing chamber in order to produce a desired layer of uniform thickness. These requirements become more critical as substrate size increases, creating a need for more complexity in chamber design and gas flow technique to maintain adequate uniformity.
[0004] An alternative to CVD process is cyclical deposition or atomic layer deposition (ALD) that demonstrates excellent step coverage. Cyclical deposition or ALD evolved from atomic layer epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles. In simplest form, the cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor, and the purge gas. The first and second precursors react to form a product compound as a film on the substrate surface. The cycle is repeated to form the layer to a desired thickness.
[0005] Formation of film layers at a high deposition rate while providing adequate step coverage are conflicting characteristics often necessitating the sacrifice of one to obtain the other. This conflict is true particularly when refractory metal layers are deposited over gaps or vias during the formation of contacts interconnecting adjacent metallic layers separated by dielectric layers. Historically, CVD techniques have been employed to deposit conductive materials such as refractory metals in order to inexpensively and quickly form contacts. Due to the increasing integration of semiconductor circuitry, tungsten has been used based upon superior step coverage. As a result, deposition of tungsten by CVD has wide application in electronic device and semiconductor processing due to the high throughput of the process.
[0006] Depositing tungsten by conventional CVD process, however, is attendant with several disadvantages. For example, conventional CVD processes usually cause high aspect ratio (e.g., 20) vias to "pinch-off" and not completely fill during deposition of tungsten films. Also, blanket deposition of a tungsten layer on a semiconductor substrate is time-consuming at temperatures below 4000C. The deposition rate of tungsten may be improved by increasing the deposition temperature to, for example, about 5000C to about 5500C. However, temperatures in this higher range may compromise the structural and operational integrity of the underlying portions of the integrated circuit being formed. Further, tungsten has proven difficult to uniformly deposit, which typically increases film resistivity.
[0007] Therefore, there is a need for an improved process to deposit tungsten- containing materials with good uniformity using vapor deposition techniques.
SUMMARY OF THE INVENTION
[0008] Embodiments of the invention provide an improved process for depositing tungsten-containing materials. The process utilizes soak processes and vapor deposition process to provide tungsten-containing materials having significantly improved conductivity and surface uniformity, while increasing the production level throughput. In one embodiment, a method for forming a tungsten-containing material on a substrate is provided which includes positioning the substrate within a processing chamber, exposing the substrate to a continuous flow of a silicon precursor gas while exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit a tungsten suicide layer thereon. The substrate is exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1. In other examples, the silicon/tungsten precursor flow rate ratio may be about 2, about 3, or greater. The method further provides depositing a tungsten nitride layer on the tungsten suicide layer, depositing a tungsten nucleation layer on the tungsten nitride layer, and depositing a tungsten bulk layer on the tungsten nucleation layer. In some examples, the silicon precursor gas may be introduced into the processing chamber with a flow rate of about 120 seem and the tungsten precursor gas may be introduced with a flow rate of about 60 seem.
[0009] In some embodiments, the method further provides exposing the tungsten nitride barrier layer to a pre-soak gas containing a reducing agent during a pre-soak process prior to depositing the tungsten nucleation layer. In other embodiments, the method may include exposing the tungsten nucleation layer to a post-soak gas containing a reducing agent during a post-soak process. The reducing agent for the pre- or post-soak may independently contain silane, disilane, borane, diborane, phosphine, hydrogen, derivatives thereof, or combinations thereof.
[0010] In some examples, the substrate may be heated to a temperature within a range from about 3500C to about 4000C, and more preferably, at about 3800C during the vapor deposition process of the tungsten suicide layer. The processing chamber may have an internal pressure within a range from about 1 Torr to about 10 Torr, and more preferably, from about 5 Torr to about 10 Torr during the vapor deposition process of the tungsten suicide layer. The carrier gas may contain argon, nitrogen, hydrogen, or mixtures thereof and have a flow rate of about 3,600 seem. [0011] The tungsten suicide layer may be deposited by a vapor deposition process, such as by exposing the substrate to a continuous flow of a silicon precursor gas and exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit the tungsten suicide layer. The tungsten suicide layer may have a resistivity measured across the substrate of about 225 Ωμ-cm or less and a thickness within a range from about 30 A to about 200 A.
[0012] In another embodiment, a method for forming a tungsten-containing material on a substrate is provided which includes exposing the substrate to a continuous flow of a silicon precursor gas while exposing the substrate to intermittent pulses of a tungsten precursor gas to deposit a tungsten suicide layer. The method further provides depositing a tungsten nitride layer on the tungsten suicide layer during an ALD process, exposing the substrate to a pre-soak gas containing a reducing agent during a pre-soak process, depositing a tungsten nucleation layer on the tungsten nitride layer during another ALD process, exposing the tungsten nucleation layer to a post-soak gas containing the reducing agent during a post-soak process, and depositing a tungsten bulk layer on the tungsten nucleation layer.
[0013] In another embodiment, a method for forming a tungsten-containing material on a substrate is provided which includes positioning the substrate within a processing chamber, wherein the substrate already contains a tungsten suicide layer disposed thereon, and depositing a tungsten nitride layer on the tungsten suicide layer. The method further provides exposing the tungsten nitride layer to a pre-soak gas containing silane during a pre-soak process, exposing the substrate sequentially to a tungsten precursor and a reducing gas to deposit a tungsten nucleation layer on the tungsten nitride layer during an ALD process, exposing the substrate to a post- soak gas containing silane during a post-soak process, and depositing a tungsten bulk layer on the tungsten nucleation layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0014] So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to
be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0015] Figure 1 illustrates a flow chart depicting a process sequence for forming a tungsten suicide layer using a vapor deposition technique according to an embodiment described herein;
[0016] Figure 2 illustrates a flow chart depicting a process sequence for the forming of a tungsten-containing material according to another embodiment described herein;
[0017] Figures 3A-3B depict an exemplary integrated processing platforms that may be used during process described herein;
[0018] Figures 4A-4E depicts a cross sectional view of a substrate during various stages of a process, according to another embodiment described herein; and
[0019] Figure 5 depicts a cross sectional view of a conventional DRAM device formed according to an embodiment of the invention.
DETAILED DESCRIPTION
[0020] Embodiments of the invention provide an improved process for depositing tungsten-containing materials. The process utilizes tungsten containing gas and vapor deposition process to provide tungsten-containing materials having significantly improved conductivity and surface uniformity, while increasing the production level throughput. In one embodiment, a method for forming a tungsten- containing material on a substrate is provided which includes exposing the substrate to a continuous flow of a silicon precursor gas and intermittent pulses of tungsten precursor gas to deposit a tungsten suicide layer on a substrate during a vapor deposition process. The substrate may be exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1. In some examples, the silicon/tungsten precursor flow rate ratio is about 2:1 or greater, such as about 3:1 or greater. The silicon precursor gas may have a continuous flow rate, but does not necessary have a consistent flow rate. The tungsten precursor gas may be intermittent pulsed into the silicon precursor gas or otherwise into the processing chamber to expose the substrate.
[0021] Subsequently, in some embodiments, a tungsten nitride barrier layer may be deposited over the tungsten suicide layer. A tungsten nucleation layer may be deposited over the tungsten nitride barrier layer, and a tungsten bulk layer may be deposited over the tungsten nucleation layer.
[0022] Figure 1 illustrates an exemplary process 100 for forming a tungsten suicide material according to one embodiment of the invention. A substrate to be processed is first exposed to a continuous flow of a silicon precursor gas (step 110). Pulses of a tungsten precursor gas are intermittently exposed to the substrate while flowing the silicon precursor gas (step 120). The tungsten precursor gas and the silicon precursor gas may be introduced together or independently into the processing chamber. When the tungsten precursor gas is on, that is, both the tungsten and the silicon precursor gases are flowing into the processing chamber, the substrate may be exposed to the tungsten precursor gas for a first time period within a range from about 0.05 seconds to about 5 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.2 seconds to about 1 second, for example, about 0.5 seconds. Between each pulse of tungsten precursor gas, when the tungsten precursor gas is off and the flow of the silicon precursor gas is kept on, the substrate is exposed to the silicon precursor gas for another or second time period within a range from about 0.05 seconds to about 5 seconds, preferably, from about 0.1 seconds to about 2 seconds, and more preferably, from about 0.2 seconds to about 1 second, for example, about 1 second. When the tungsten precursor gas is off between cycles, the silicon precursor gas may be used as a purge gas which purges or otherwise removes any residual tungsten-containing precursor or by-products. Alternatively, a carrier gas may be co-flowed with the silicon precursor gas and/or the tungsten precursor gas. Suitable carrier gases include argon, nitrogen, hydrogen, or combinations thereof.
[0023] Referring to step 130, after each deposition cycle (steps 110 and 120), the processing chamber may be optionally exposed to a purge step after a predetermined number of pulses of the tungsten precursor gas. The processing chamber may be partially or substantially evacuated, flushed with a purge gas, or both. The purge gas may include argon, nitrogen, hydrogen, or combinations thereof. In step 132, the flow of the silicon precursor gas and the tungsten precursor gas may be stopped and the processing chamber may be exposed to the purge gas in step 134. A throttle valve may be used to control the internal pressure of the processing chamber. The processing chamber is usually evacuated to remove gases therein, prior to, during, or after flowing the purge gas into the processing chamber. In one example, the flow of the silicon precursor gas may be stopped before the flow of the tungsten precursor gas. In another example, the flow of the tungsten precursor gas may be stopped before the flow of the silicon precursor gas. After the purge step, the deposition cycle (steps 110 and 120) is repeated until a desired thickness of the tungsten suicide material is achieved, per step 140. The option to conduct or repeat step 130 is also provided upon repeating the deposition cycle.
[0024] In step 140, after each deposition cycle (steps 110 and 120), a tungsten suicide layer having a predetermined thickness will be deposited on the substrate. In one example, each deposition cycle may form a layer of tungsten suicide material having a thickness within a range from about 10 A to about 12 A. Depending on specific device requirements, subsequent deposition cycles may be performed to deposit tungsten suicide layer having a predetermined desired thickness. As such, the deposition cycle (steps 110 and 120) may be repeated to form the tungsten suicide material having the desired thickness. The tungsten suicide material may be deposited to a thickness within a range from about 50 A to about 200 A. Thereafter, process 100 may be stopped upon achieving the desired thickness. [0025] In process 100, during the vapor deposition of the tungsten suicide layer, the substrate may be heated before exposing to the silicon precursor gas or during steps 110 or 120. Prior to or during process 100, the substrate may be heated to a process temperature within a range from about 2000C to about 5000C, preferably, from about 3000C to about 4500C, and more preferably, from about 3500C to about 4000C, such as about 3800C. In one example, the temperature of the substrate may be maintained for the subsequent vapor deposition process. Process 100 is typically performed in a processing chamber having a internal pressure of about 760 Torr or less, preferably, within a range from about 0.1 Torr to about 100 Torr, more preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 2 Torr to about 10 Torr. In some examples, the internal pressure of the processing chamber may be within a range from about 5 Torr to about 10 Torr. The deposition process usually lasts for a time period within a range from about 1 second to about 90 seconds. In one example, the deposition process lasts for about 60 seconds or less. In another example, the deposition process lasts for about 30 seconds or less. In another example, deposition the process lasts for about 10 seconds.
[0026] Steps 110 and 120 provide a continuous flow of the silicon precursor gas into the processing chamber whereas the tungsten precursor gas is intermittently pulsed or added into the processing chamber. The tungsten precursor gas may be intermittently pulsed or added into a stream of carrier gas and/or the continuous stream of the silicon precursor gas or directly into the processing chamber. Regardless, the substrate is exposed to intermittent pulses of the tungsten precursor gas. Each processing step (steps 110 and 120) lasts within a range from about 0.01 seconds to about 10 seconds, preferably, from about 0.1 seconds to about 5 seconds. The substrate may be exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1. In some examples, the silicon/tungsten precursor flow rate ratio is about 2:1 or greater, such as about 3:1 or greater, about 4:1 or greater, about 5:1 or greater, or even about 10:1 or greater. [0027] In one embodiment, the silicon precursor gas may have a flow rate within a range from about 50 seem to about 300 seem, preferably, from about 80 seem to about 200 seem, more preferably, from about 100 seem to about 150 seem. The tungsten precursor gas may have a flow rate within a range from about 10 seem to about 200 seem, preferably, from about 30 seem to about 100 seem, more preferably, from about 50 seem to about 80 seem. The precursor gases, such as the tungsten and/or silicon precursor gases, may be introduced into the processing chamber with or without a carrier gas. The carrier gas may have a flow rate within a range from about 50 seem to about 4,000 seem, for example, about 3,600 seem.
[0028] In one example, the silicon precursor gas may have a flow rate of about 60 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is greater than 1 , such as about 1.2. In another example, the silicon precursor gas may have a flow rate of about 90 seem and the tungsten precursor gas may have a flow rate of about 60 seem, therefore the silicon/tungsten precursor flow rate ratio is greater than 1 , such as about 1.5. In another example, the silicon precursor gas may have a flow rate of about 120 seem and the tungsten precursor gas may have a flow rate of about 60 seem, therefore the silicon/tungsten precursor flow rate ratio is about 2. In another example, the silicon precursor gas may have a flow rate of about 150 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is about 3. In another example, the silicon precursor gas may have a flow rate of about 200 seem and the tungsten precursor gas may have a flow rate of about 50 seem, therefore the silicon/tungsten precursor flow rate ratio is about 4.
[0029] A vapor deposition processing chamber used during embodiments described herein is available from Applied Materials, Inc., located in Santa Clara, California. Software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed during the deposition process. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to some embodiments of the invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other types of hardware implementation, or a combination of software or hardware.
[0030] Figure 2 illustrates process 200 for the forming of a tungsten-containing material according to another embodiment described herein. During step 210, the substrate may be optionally exposed to a pre-clean process. The substrate usually contains a silicon containing surface (e.g., suicide) disposed thereon and is exposed to a vapor deposition process during step 220. In one example the vapor deposition process includes exposing the substrate to a continuous flow of a silicon precursor gas and intermittent pulses of a tungsten precursor gas while depositing a tungsten suicide layer onto the substrate. During step 230, a tungsten nitride barrier layer is deposited on or over the substrate containing the tungsten suicide layer. In another example, the tungsten nitride barrier layer may be deposited by an ALD process. Optionally, the substrate containing the tungsten nitride barrier layer may be exposed to a pre-soak process or a first soak process in step 240. The soak process includes delivering pre-soak gas or a reducing gas containing a reductant or a reducing agent into the processing chamber. In another example, the substrate surface forms another treated layer, such as a reduced barrier layer.
[0031] In step 250, a nucleation layer (e.g., tungsten) is deposited on or over the substrate containing the barrier layer. Generally, the nucleation layer may be deposited by a vapor deposition process that includes PVD, ALD, CVD, or pulsed- CVD. In step 260, optionally, the substrate containing the nucieation layer may be exposed to post-soak process or a second soak process with the same or a different reductant as used in step 240. Thereafter, a bulk layer (e.g., tungsten) is deposited on the nucleation layer during step 270. The bulk layer may be deposited by a CVD process. [0032] During step 210, the substrate may be exposed to a pre-clean process. The substrate usually contains silicon, polysilicon, or silicon containing surface (e.g., suicide) disposed thereon and may be exposed to pre-clean solution, vapor, or plasma during a pre-clean process. In one embodiment, the substrate is exposed to a reducing agent in gaseous form, such as silane, disilane, diborane, hydrogen, phosphine, or derivatives thereof. A carrier gas may be co-flowed with the reducing agent. Carrier gases include hydrogen, nitrogen, argon, or combinations thereof. In another embodiment, the substrate is exposed to a plasma pre-clean process. The plasma may be generated internal (e.g., in situ plasma) or generated externally (e.g., remote plasma system). The substrate may be exposed to a plasma formed from a gas or a gaseous mixture containing argon, helium, neon, hydrogen, nitrogen, ammonia, silane, disilane, diborane, or mixtures thereof. In several examples, the plasma may be formed from a hydrogen and ammonia mixture, a hydrogen and nitrogen mixture, or a nitrogen and ammonia mixture.
[0033] During step 220, a tungsten suicide material may be deposited on or over the substrate by a vapor deposition process subsequent the optional pre-clean process. In one embodiment, the tungsten suicide material may be deposited by process 100, as described herein. The substrate surface is exposed to a continuous flow of silicon precursor gas and intermittent pulses of tungsten precursor gas while a tungsten suicide layer is deposited onto the substrate. In one example, the silicon precursor gas contains silane and may be introduced into the processing chamber with a flow rate of about 120 seem, while the tungsten precursor gas contains tungsten hexafluoride and may be introduced into the processing chamber with a flow rate of about 60 seem. In another embodiment, the tungsten suicide material may be deposited by other ALD or CVD processes.
[0034] During step 230, a tungsten nitride barrier layer may be disposed on or over the tungsten suicide layer. In one embodiment, the tungsten nitride barrier layer may contain one or multiple layers. Each of the layers of the tungsten nitride barrier layer may contain metallic tungsten, tungsten nitride, tungsten suicide nitride, tungsten boride, nitride, derivatives thereof, alloys thereof, or combinations thereof. In one example, the tungsten nitride barrier layer may be deposited by an ALD process. The tungsten nitride barrier layer may be deposited to a thickness of at least about 30 A, such as within a range from about 30 A to about 100 A, preferably, about 60 A. In one example, a tungsten nitride barrier layer is deposited on or over the tungsten suicide layer by an ALD process by sequentially pulsing tungsten precursor gas and a nitrogen precursor gas into the processing chamber to expose the substrate surface. The tungsten precursor gas may contain tungsten hexafluoride and may be introduced into the processing chamber at a rate of about 60 seem. The nitrogen precursor gas may contain ammonia and may be introduced into the processing chamber at a rate at a rate of about 200 seem. The purge gas may contain silane or hydrogen and be introduced into the processing chamber at a rate of about 1 ,000 seem. The tungsten precursor gas may contain a carrier gas, such as argon or nitrogen, having a flow rate of about 4,000 seem.
[0035] The process conditions, such as temperature and pressure, set during step 220 may be used or altered during step 230. In one embodiment, the processing chamber is adjusted to heat the substrate at a process temperature within a range from about 2000C to about 5000C, preferably, from about 3000C to about 4500C, and more preferably, from about 3500C to about 4000C, for example, about 3800C, during the steps 220 and 230. The processing chamber may have an internal pressure of about 760 Torr or less, preferably, within a range from about 0.1 Torr to about 100 Torr, more preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 2 Torr to about 10 Torr. In one example, the processing chamber used during the pre-clean process may be the same processing chamber as used to deposit the layer and the barrier layer. In another example, the processing chamber used during the deposition of the tungsten suicide material and the barrier layer may be the same processing chamber as used to deposit subsequent soak process and nucleation layer during steps 240, 250, and 260.
[0036] During step 240, the substrate surface may be optionally exposed to a reducing gas containing a reductant and an optional carrier gas during the pre-soak process. The pre-soak process is generally a thermal process, but may be a plasma process. The reductant adsorbs and/or reacts to the substrate surface containing the barrier layer to form a treated surface. The treated surface provides a quicker deposition process for a subsequently deposited material. The reductants may include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, or combinations thereof. Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, or derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, alkylboranes, such as triethylborane, or derivatives thereof. Some specific reductants include silane, disilane, diborane, hydrogen, derivatives thereof, or combinations thereof. A carrier gas may be co-flowed with the reductant. Carrier gases include hydrogen, nitrogen, argon, helium, or combinations thereof.
[0037] The substrate may be exposed to the pre-soak gas or reducing gas during the pre-soak process in step 240 for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, more preferably, from about 10 seconds to about 30 seconds, and more preferably, from about 15 seconds to about 20 seconds. The barrier layer on the substrate surface may be exposed to a pre-soak gas while the substrate is heated to a temperature within a range from about 1000C to about 6000C, preferably, from about 2000C to about 6000C, more preferably, from about 3000C to about 5000C, more preferably, from about 3500C to about 4200C, and more preferably, from about 375°C to about 5000C during the pre-soak process. The processing chamber may have internal pressure within a range from about 0.1 Torr to about 150 Torr, preferably, from about 1 Torr to about 100 Torr, more preferably, from about 10 Torr to about 50 Torr, and more preferably, from about 20 Torr to about 40 Torr. The barrier layer disposed on or over the substrate may be reduced and/or adsorbs the reductant to form a conditioned layer for the subsequent nucleation layer.
[0038] During step 250, a nucleation layer is deposited on or over the substrate surface containing the tungsten nitride barrier layer. The nucleation layer may be deposited by a vapor deposition process such as ALD, CVD, and/or pulsed-CVD. The processing chamber used to deposit the nucleation layer may be the same processing chamber used in the soak processes as described in steps 240 and 260. The nucleation layer may contain metallic tungsten, tungsten boride, tungsten suicide, other tungsten alloys, derivatives thereof, or combinations thereof.
[0039] The nucleation layer is typically deposited to a thickness within a range from about 2 A to about 200 A. In one example, a nucleation layer having a thickness within a range from about 2 A to about 50 A, such as about 30 A, is deposited on the substrate which is sequentially exposed to tungsten hexafluoride and reducing agent (e.g., silane or diborane) during an ALD process. In another example, a nucleation layer having a thickness within a range from about 2 A to about 200 Λ, such as about 50 A, is deposited on the substrate which is simultaneously exposed to tungsten hexafluoride and reducing agent (e.g., silane or diborane) during a pulsed-CVD process.
[0040] During step 260, the substrate surface may be optionally exposed to another or second reducing gas containing a reductant and an optional carrier gas during the post-soak process. The post-soak process is generally a thermal process, but may be a plasma process. The reductant adsorbs and/or reacts to the substrate surface containing the nucleation layer to form a treated surface. The treated surface provides a quicker deposition process for a subsequently deposited material. The reductants may include silane compounds, borane compounds, phosphine compounds, ammonia, amine compounds, hydrogen, derivatives thereof, or combinations thereof. Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane, or derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, alkylboranes, such as triethylborane, or derivatives thereof. Some specific reductants include silane, disilane, diborane, hydrogen, derivatives thereof, or combinations thereof. A carrier gas may be co-flowed with the reductant. Carrier gases include hydrogen, nitrogen, argon, helium, or combinations thereof. [0041] The substrate containing the nucleation layer may be exposed to the post- soak gas or reducing gas during the post-soak process in step 260 for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, more preferably, from about 10 seconds to about 30 seconds, and more preferably, from about 15 seconds to about 20 seconds. The nucleation layer on the substrate surface may be exposed to a post-soak gas while the substrate is heated to a temperature within a range from about 1000C to about 6000C, preferably, from about 2000C to about 6000C, more preferably, from about 3000C to about 5000C, more preferably, from about 3500C to about 4200C, and more preferably, from about 375°C to about 5000C during the post-soak process. The processing chamber may have internal pressure within a range from about 0.1 Torr to about 150 Torr, preferably, from about 1 Torr to about 100 Torr, more preferably, from about 10 Torr to about 50 Torr, and more preferably, from about 20 Torr to about 40 Torr. The nucleation layer disposed on or over the substrate may be reduced and/or adsorbs the reductant to form a conditioned layer for the subsequent bulk layer.
[0042] During step 270, a bulk layer may be deposited on or over the substrate surface containing the nucleation layer. The bulk layer may be deposited by a vapor deposition process that includes CVD or pulsed-CVD. The processing chamber used to deposit the bulk layer may be the same processing chamber used in the post-soak process as described in step 260. The bulk layer may contain metallic tungsten, tungsten alloys, tungsten-containing materials {e.g., tungsten boride, tungsten suicide, or tungsten phosphide), or combinations thereof.
[0043] In one example, t a tungsten bulk layer may be deposited on or over the nucleation layer on the substrate which is simultaneously exposed to tungsten hexafluoride and hydrogen gas during a CVD process. In another example, a PVD process utilizing a tungsten source is used to deposit a bulk layer on or over the nucleation layer. Processes for soaking a tungsten nucleation layer and depositing a tungsten bulk layer thereon are further described in the commonly assigned U.S. Pat. No. 6,156,382, which is incorporated herein by reference. Process Integration
[0044] A tungsten-containing layer and barrier layer as described above has shown particular utility when integrated with traditional nucleation fill techniques to form features with excellent film properties. An integration scheme can include ALD, CVD, pulsed-CVD processes, or combinations thereof, to deposit tungsten- containing layer and barrier layer while a nucleation layer may be deposited by ALD process. Integrated processing systems capable of performing such an integration scheme include ENDURA®, ENDURA SL®, CENTURA®, or PRODUCER® processing systems, each available from Applied Materials, Inc., located in Santa Clara, California. Any of these systems may be configured to include at least one ALD chamber for depositing the tungsten-containing layer and barrier layer, at least one ALD or pulsed-CVD chamber for depositing the nucleation layer, at least one CVD chamber for depositing bulk fill, and/or at least one PVD chamber for additional materials. In one embodiment, one ALD or CVD chamber may be configured to perform all vapor deposition processes related to the tungsten-containing layers.
[0045] Figure 3A depicts a schematic top-view diagram of an exemplary multi- chamber processing system 300. A similar multi-chamber processing system is disclosed in commonly assigned U.S. Pat. No. 5,186,718, which is incorporated by reference herein. Processing system 300 generally includes load lock chambers 302 and 304 for the transfer of substrates into and out from processing system 300. Typically, since processing system 300 is under vacuum, load lock chambers 302 and 304 may "pump down" the substrates introduced into processing system 300. First robot 310 may transfer the substrates between load lock chambers 302 and 304, and a first set of one or more substrate processing chambers 312, 314, 316, and 318 (four are shown). Each processing chamber 312, 314, 316, and 318, may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, orientation, or other substrate processes. First robot 310 also transfers substrates to/from one or more transfer chambers 322 and 324. [0046] Transfer chambers 322 and 324 are used to maintain ultra-high vacuum conditions while allowing substrates to be transferred within processing system 300. Second robot 330 may transfer the substrates between transfer chambers 322 and 324 and a second set of one or more processing chambers 332, 334, 336, and 338. Similar to processing chambers 312, 314, 316, and 318, processing chambers 332, 334, 336, and 338 may be outfitted to perform a variety of substrate processing operations, such as ALD, CVD, PVD, etch, pre-clean, de-gas, or orientation. Any of processing chambers 312, 314, 316, 318, 332, 334, 336, and 338 may be removed from processing system 300 if not necessary for a particular process to be performed by processing system 300. Microprocessor controller 320 may be used to operate all aspects of processing system 300.
[0047] In one arrangement, each processing chamber 332 and 338 may be an ALD chamber or other vapor deposition chamber adapted to deposit sequential layers containing different chemical compound. For example, the sequential layers may include a layer, a barrier layer, and a nucleation layer. Processing chambers 334 and 336 may be an ALD chamber, a CVD chamber, or a PVD adapted to form a bulk layer. Processing chambers 312 and 314 may be a PVD chamber, a CVD chamber, or an ALD chamber adapted to deposit a dielectric layer. Also, processing chambers 316 and 318 may be an etch chamber outfitted to etch apertures or openings for interconnect features. This one particular arrangement of processing system 300 is provided to illustrate some embodiments of the invention and should not be used to limit the scope of other embodiments of the invention.
[0048] In another integration scheme, one or more ALD chambers are integrated onto a first processing system while one or more bulk layer deposition chambers are integrated onto a second processing system. In this configuration, substrates are first processed in the first system where a layer, a barrier layer and a nucleation layer is deposited on a substrate sequentially. Thereafter, the substrates are moved to the second processing system where bulk deposition occurs. [0049] In yet another integrated system, a system may include nucleation deposition as well as bulk fill deposition in a single chamber. A chamber configured to operate in both an ALD mode as well as a conventional CVD mode may be used in processes described herein. One example of such a chamber is described in commonly assigned U.S. Pat. No. 6,878,206, which is incorporated herein by reference.
[0050] In Figure 3B, the multi-chamber processing system 350 generally includes load lock chambers 352, 354 for the transfer of substrates into and out from processing system 350. Typically, since processing system 350 is under vacuum, load lock chambers 352, 354 may "pump down" the substrates introduced into processing system 350. Robot 360 may transfer the substrates between load lock chambers 352, 354, and processing chambers 362, 364, 366, 368, 370, and 372. Each processing chamber 362, 364, 366, 368, 370, and 372 may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes. Robot 360 also transfers substrates to/from transfer chamber 356. Any of processing chambers 362, 364, 366, 368, 370, and 372 may be removed from processing system 350 if not necessary for a particular process to be performed by processing system 350. Microprocessor controller 380 may be used to operate all aspects of processing system 350.
[0051] In one arrangement, each processing chamber 364 and 370 may be an ALD chamber adapted to deposit a nucleation layer, each processing chamber 366 and 368 may be an ALD chamber, a CVD chamber or a PVD chamber adapted to form a bulk fill deposition layer.
[0052] In another arrangement, the aforementioned sequential layers may all be deposited in each of processing chamber 364, 366, 368, 370, and 372 as each chamber may be outfitted to perform a number of substrate processing operations such as ALD, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes. The sequential layers may include a layer, a barrier layer, a nucleation layer, and a bulk layer. The different arrangement of processing system 350 mentioned here is provided to illustrate the invention and should not be used to limit the scope of the embodiments herein.
[0053] Regardless of the integration scheme, the layer may have a thickness within a range from about 1 A to about 10 A, for example, from about 5 A to about 10 A. The barrier layer is usually deposited to a thickness of at least about 30 A, such as within a range from about 30 A to about 100 A, preferably, at about 60 A. The nucleation layer may have a thickness within a range from about 2 A to about 200 A, for example, from about 5 A to about 100 A and the bulk layer may have a thickness within a range from about 100 A to about 10,000 A, for example, from about 1 ,000 A to about 5,000 A. However, the thickness of these films can vary depending on the feature sizes and aspect ratios of a given application. Accordingly, the films are suitably sized to accommodate the geometries of a given application. The following are some exemplary geometries and applications that may benefit from a nucleation layer deposited according to embodiments described herein.
[0054] Figures 4A-4E show cross sectional views of a semiconductor feature that one embodiment of the process is utilized to fill via 460. In Figure 4A, substrate 400 includes at least one via 460 formed within substrate surface 450. The substrate surface 450 may be a silicon containing surface. In Figure 4B, a suicide layer 452 may be deposited by exposing the substrate to a continuous flow of a silicon precursor gas, and exposing the substrate to pulses of a tungsten precursor gas to the substrate surface 450. Then, barrier layer 454 may be deposited by ALD, CVD, or PVD techniques onto adhesion layer 452 and substrate 400 with via 460 in Figure 4C. Adhesion layer 452 and barrier layer 454 may contain metallic tungsten, tungsten nitride, tungsten boride, tungsten boride nitride, tungsten suicide, tungsten suicide nitride, tungsten phosphide, derivatives thereof, alloys thereof, or combinations thereof. In one example, adhesion layer 452 may contain metallic tungsten, tungsten suicide, tungsten boride, or alloys thereof. In another example, barrier layer 454 may contain tungsten nitride, tungsten suicide nitride, tungsten boride nitride, or alloys thereof.
[0055] Prior to the nucleation of a tungsten nitride barrier layer, as depicted in Figure 4D, a soak process may be administered to barrier layer 454. The soak process renders the sidewalls, of barrier layer 454 within via 460, to adhere and grow tungsten nucleation layer 456 at about the same rate as barrier layer 454 outside via 460. When the soak process is omitted, growth of tungsten nucleation layer 456 on the sidewalls is not constant with respect to the growth of tungsten nucleation layer 456 outside via 460. Once the tungsten nucleation layer 456 has been deposited, then a secondary soak or post-soak process is conducted to treat tungsten bulk layer 458. The post-soak process provides tungsten bulk layer 458 to more smoothly continue growth while filling via 460, as demonstrated in Figure 4E.
[0056] Figure 5 is a cross sectional view of a conventional DRAM device having access transistor 520 positioned adjacent a top portion of trench capacitor 530. Access transistor 520 for DRAM device 510 is positioned adjacent a top portion of trench capacitor 530. Preferably, access transistor 520 contains a n-p-n transistor having source region 522, gate region 524, and drain region 526. Gate region 524 is a P" doped silicon epi-layer disposed over the P+ substrate. Source region 522 of access transistor 520 is a N+ doped material disposed on a first side of gate region 524 and drain region 526 is a N+ doped material disposed on a second side of gate region 524, opposite source region 522.
[0057] Source and drain regions 522 and 524 may be connected to tungsten plug 560. Each tungsten plug 560 includes tungsten-containing material layer 562, tungsten nucleation layer 564, and bulk tungsten fill 566. The tungsten-containing material layer 562 may be a bi-layer stack comprising vapor deposited tungsten suicide followed by ALD deposited tungsten nitride. Tungsten nucleation layer 564 may be formed by using a soak process and an ALD process or a soak process and a pulsed-CVD process as described above. Tungsten bulk fill 566 may be deposited by using a post-soak process followed by a CVD process. [0058] Trench capacitor 530 generally includes first electrode 532, second electrode 534 and dielectric material 536 disposed therebetween. The P+ substrate serves as first electrode 532 of trench capacitor 530 and is connected to ground connection 541. Trench 538 is formed in the P+ substrate and filled with a heavily doped N+ polysilicon that serves as second electrode 534 of trench capacitor 530. Dielectric material 536 is disposed between first electrode 532 (e.g., P+ substrate) and second electrode 534 {e.g., N+ polysilicon). Tungsten liner 562 may be a bi- layer stack comprising vapor deposited tungsten suicide followed by ALD deposited tungsten nitride.
[0059] Trench capacitor 530 also includes a first layer containing tungsten liner 540 disposed between dielectric material 536 and first electrode 532. Tungsten liner 540 may be a bi-layer stack comprising tungsten suicide and tungsten nitride. Preferably, a second layer containing tungsten liner 542 is disposed between dielectric material 536 and second electrode 534. Alternatively, tungsten liners 540 and 542 are a combination film, such as metallic tungsten/titanium nitride.
[0060] Although the above-described DRAM device utilizes an n-p-n transistor, a P+ substrate as a first electrode, and an N+ polysilicon as a second electrode of the capacitor, other transistor designs and electrode materials are contemplated by the present invention to form DRAM devices. Additionally, other devices, such as crown capacitors for example, are contemplated by the present invention.
[0061] "Substrate surface" or "substrate," as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, and/or carbon doped silicon oxides, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes, such as maybe used for LCDs or solar panel processing. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Embodiments of the processes described herein may be used to deposit metallic tungsten, tungsten nitride, tungsten boride, tungsten boride nitride, tungsten suicide, tungsten suicide nitride, tungsten phosphide, derivatives thereof, alloys thereof, combinations thereof, or other tungsten-containing materials on many substrates and surfaces, especially, on barrier layers, layers, or conductive layers. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface.
[0062] "Atomic layer deposition" or "cyclical deposition" as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three or more reactive compounds may alternatively be introduced into a reaction zone of a processing chamber. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as argon or nitrogen, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. In alternative embodiments, the purge gas may also be a reducing agent, such as hydrogen, diborane, or silane. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is an ALD cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness. In another embodiment, a first precursor containing compound A, a second precursor containing compound B, and a third precursor containing compound C are each separately and alternatively pulsed into the processing chamber. Alternatively, a first precursor containing compound A and a second precursor containing compound B are each separately and alternatively pulsed into the processing chamber while, and a third precursor containing compound C is continuously flowed into the processing chamber. Alternatively, a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors.
[0063] A "pulse" as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the processing chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself. A "half- reaction" as used herein to refer to a pulse of a precursor followed by a purge step.
EXAMPLES:
[0064] The following hypothetical examples may be performed by depositing a tungsten suicide layer on a substrate (e.g., 300 mm diameter) using the vapor deposition processes described in embodiments herein. Subsequently, a tungsten nitride barrier layer may be deposited on or over the tungsten suicide layer by an ALD process using tungsten hexafluoride and ammonia. In some examples, the silane gas may contain a carrier gas such as argon, nitrogen, hydrogen, or combinations thereof. [0065] Example 1 : The substrate was placed into a deposition chamber and exposed to a vapor deposition process to form a tungsten suicide layer under the following conditions:
Reagent: SiH4 and WFε;
Pressure: about 5 Torr;
Temperature: about 3800C;
Flow rates: about 120 seem of SiH4, and about 60 seem of WF6;
Pulse durations of WFΘ: about 0.5 seconds (on), about 0.5 seconds (off).
[0066] This vapor deposition cycle was continued until the tungsten suicide layer had a thickness of about 200 A (about 30 cycles). Next, a tungsten nitride barrier layer was formed on the tungsten suicide layer in the deposition chamber using an ALD process under the following conditions:
Reagent: SiH4, WFe, and NHs;
Pressure: about 5 Torr;
Temperature: about 3800C;
Flow rates: about 200 seem of SiH4, about 60 seem of WFΘ, about 1 ,000 seem of NH3, and about 4,000 seem of argon;
Pulse duration: SiH4 dose for about 1.5 seconds, SiH4 purge for about 1.5 seconds, WF6 dose for about 0.5 seconds, WF6 purge for about 2.0 seconds, NHe dose for about 2.0 seconds, and NH3 purge for about 2.0 seconds.
[0067] Next, a tungsten nucleation layer was formed on the tungsten nitride barrier layer in the deposition chamber using an ALD process under the following conditions:
Reagents: WF6, SiH4, and argon;
Pressure: about 5 Torr;
Temperature: about 4000C;
Flow rates: about 60 seem of WF6, about 100 seem of 5% SiH4 in argon, and about 6,000 seem of argon; Pulse duration: WF6 dose for about 0.5 seconds, WF6 purge for about 2.0 seconds, SiH4 dose for about 1.5 seconds, and SiH4 purge for about 1.5 seconds.
[0068] The ALD process was continued until the nucleation layer had a thickness of about 25 A. Thereafter, a bulk tungsten layer was deposited WF6-H2 to a thickness of about 500 A on the second nucleation layer using a CVD process at about 4000C.
[0069] Example 2: The substrate was placed into a deposition chamber and exposed to a vapor deposition process to form a tungsten suicide layer under the following conditions:
Reagent: SiH4 and WFβ;
Pressure: about 5 Torr;
Temperature: about 3800C;
Flow rates: about 120 seem of SiH4, and about 60 seem of WFε;
Pulse duration of WFΘ: about 0.5 seconds (on), about 0.5 seconds (off);
[0070] Next, a tungsten nitride barrier layer was formed on the tungsten suicide layer in the deposition chamber using an ALD process under the following conditions:
Reagent: SiH4, WFe, and NHa;
Pressure: about 5 Torr;
Temperature: about 3800C;
Flow rates: about 200 seem of SiH4, about 60 seem of WFβ, and about 1 ,000
Figure imgf000027_0001
Pulse duration: SiH4 dose for about 1.5 seconds, SiH4 purge for about 1.5 seconds, WF6 dose for about 0.5 seconds, WF6 purge for about 2.0 seconds, NH3 dose for about 2.0 seconds, and NH3 purge for about 2.0 seconds.
[0071] Next, the substrate was placed into a deposition chamber and exposed to a soak process under the following conditions: Reagent: SiH4;
Pressure: about 90 Torr;
Temperature: about 4000C;
Flow rates: about 200 seem of SiH4; and
Duration: about 24 seconds.
[0072] Next, a tungsten nucleation layer was formed on the tungsten nitride barrier layer in the deposition chamber using an ALD process under the following conditions:
Reagents: WF6, SiH4, and argon; Pressure: about 5 Torr; Temperature: about 4000C;
Flow rates: about 60 seem of WF6, about 100 seem of 5% SiH4 in argon, and about 6,000 seem of argon;
Pulse duration: WF6 dose for about 0.5 seconds, WF6 purge for about 2.0 seconds, SiH4 dose for about 1.5 seconds, and SiH4 purge for about 1.5 seconds.
[0073] The ALD process was continued until the nucleation layer had a thickness of about 25 A. Thereafter, the substrate was kept in the deposition chamber and exposed to a second soak process under the following conditions:
Reagent: SiH4;
Pressure: about 90 Torr;
Temperature: about 4000C;
Flow rates: about 200 seem of SiH4; and
Duration: about 24 seconds.
[0074] Subsequently, a bulk tungsten layer was deposited WF6-H2 to a thickness of about 500 A on the second nucleation layer using a CVD process at about 4000C.
[0075] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method for forming a tungsten-containing material on a substrate, comprising: depositing a tungsten suicide layer on a substrate within a processing chamber during a vapor deposition process, comprising: exposing the substrate to a silicon precursor gas having a continuous gas flow; and exposing the substrate to intermittent pulses of a tungsten precursor gas while flowing the silicon precursor gas and depositing the tungsten suicide layer on the substrate, wherein the substrate is exposed to the silicon precursor gas and the tungsten precursor gas having a silicon/tungsten precursor flow rate ratio of greater than 1 ; depositing a tungsten nitride layer on the tungsten suicide layer; depositing a tungsten nucleation layer on the tungsten nitride layer; and depositing a tungsten bulk layer on the tungsten nucleation layer.
2. The method of claim 1 , wherein the silicon/tungsten precursor flow rate ratio is about 3 or greater.
3. The method of claim 1 , wherein the substrate is exposed to each pulse of the tungsten precursor gas for a first time period within a range from about 0.1 seconds to about 2 seconds.
4. The method of claim 3, wherein the substrate is exposed to the silicon precursor gas between the pulses of the tungsten precursor gas for a second time period within a range from about 0.2 seconds to about 5 seconds.
5. The method of claim 1 , wherein the silicon precursor gas comprises silane and the tungsten precursor gas comprises tungsten hexafluoride.
6. The method of claim 1 , wherein the tungsten bulk layer is deposited by a chemical vapor deposition process, and the tungsten nucleation layer or the tungsten nitride layer is deposited by an atomic layer deposition process.
7. The method of claim 1 , wherein the tungsten suicide layer, the tungsten nitride layer, and the tungsten nucleation layer are each deposited within the same processing chamber.
8. The method of claim 7, wherein the tungsten bulk layer is also deposited within the same processing chamber.
9. A method for forming a tungsten-containing material on a substrate, comprising: positioning a substrate within a processing chamber; depositing a tungsten suicide layer on the substrate during a vapor deposition process, comprising: exposing the substrate to a continuous flow of a silicon precursor gas; and exposing the substrate to intermittent pulses of a tungsten precursor gas while flowing the silicon precursor gas and depositing the tungsten suicide layer, wherein the silicon precursor gas and the tungsten precursor gas are exposed to the substrate having a silicon/tungsten precursor flow rate ratio of about 2 or greater; depositing a tungsten nitride layer on the tungsten suicide layer; and depositing a tungsten material over the tungsten nitride layer.
10. The method of claim 9, wherein the silicon precursor gas comprises silane and the tungsten precursor gas comprises tungsten hexafluoride.
11. The method of claim 10, wherein the silicon precursor gas has a flow rate within a range from about 60 seem to about 200 seem.
12. The method of claim 11 , wherein the tungsten precursor gas has a flow rate within a range from about 30 seem to about 150 seem.
13. The method of claim 9, wherein the tungsten suicide layer has a resistivity measured across the substrate of about 225 Ωμ-cm or less.
14. The method as in claim 13, wherein the tungsten suicide layer has a thickness within a range from about 30 A to about 200 A.
15. A method for forming a tungsten-containing material on a substrate, comprising: positioning a substrate within a processing chamber; depositing a tungsten suicide layer on the substrate during a vapor deposition process, comprising: exposing the substrate to a continuous flow of a silicon precursor gas; exposing the substrate to intermittent pulses of a tungsten precursor gas while flowing the silicon precursor gas and depositing the tungsten suicide layer, wherein the silicon precursor gas and the tungsten precursor gas are exposed to the substrate having a silicon/tungsten precursor flow rate ratio of about 2 or greater; depositing a tungsten nitride layer on the tungsten suicide layer during an atomic layer deposition process; depositing a tungsten nucleation layer over the tungsten nitride layer during an atomic layer deposition process, wherein the substrate is exposed to a pre-soak gas comprising a reducing agent during a presoak process prior to depositing the tungsten nucleation layer or the substrate is exposed to a post-soak gas comprising the reducing agent during a post-soak process subsequent to depositing the tungsten nucleation layer; and depositing a tungsten bulk layer over the tungsten nucleation layer.
PCT/US2008/077561 2007-09-28 2008-09-24 Vapor deposition of tungsten materials WO2009042713A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97612007P 2007-09-28 2007-09-28
US60/976,120 2007-09-28

Publications (1)

Publication Number Publication Date
WO2009042713A1 true WO2009042713A1 (en) 2009-04-02

Family

ID=40511838

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/077561 WO2009042713A1 (en) 2007-09-28 2008-09-24 Vapor deposition of tungsten materials

Country Status (2)

Country Link
TW (1) TW200929384A (en)
WO (1) WO2009042713A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009026249A1 (en) * 2009-07-24 2011-02-03 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
FR3046878A1 (en) * 2016-01-19 2017-07-21 Altatech Semiconductor METHOD FOR MANUFACTURING AN INTERCONNECTION COMPRISING A VIA EXTENDING THROUGH A SUBSTRATE
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device
US10767257B2 (en) 2016-01-19 2020-09-08 Plasma-Therm Llc Method for removing a metal deposit arranged on a surface in a chamber
US11107675B2 (en) 2016-07-14 2021-08-31 Entegris, Inc. CVD Mo deposition by using MoOCl4

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2011013811A1 (en) * 2009-07-31 2013-01-10 株式会社アルバック Semiconductor device manufacturing apparatus and semiconductor device manufacturing method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060009034A1 (en) * 2000-06-28 2006-01-12 Lai Ken K Methods for depositing tungsten layers employing atomic layer deposition techniques
US20060024959A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US20070190780A1 (en) * 2003-06-18 2007-08-16 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060009034A1 (en) * 2000-06-28 2006-01-12 Lai Ken K Methods for depositing tungsten layers employing atomic layer deposition techniques
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US20070190780A1 (en) * 2003-06-18 2007-08-16 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20060024959A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009026249A1 (en) * 2009-07-24 2011-02-03 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
DE102009026249B4 (en) * 2009-07-24 2012-11-15 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
FR3046878A1 (en) * 2016-01-19 2017-07-21 Altatech Semiconductor METHOD FOR MANUFACTURING AN INTERCONNECTION COMPRISING A VIA EXTENDING THROUGH A SUBSTRATE
WO2017125336A1 (en) * 2016-01-19 2017-07-27 Kobus Sas Method for producing an interconnection comprising a via extending through a substrate
US10767257B2 (en) 2016-01-19 2020-09-08 Plasma-Therm Llc Method for removing a metal deposit arranged on a surface in a chamber
US11107675B2 (en) 2016-07-14 2021-08-31 Entegris, Inc. CVD Mo deposition by using MoOCl4
CN111162039A (en) * 2018-11-08 2020-05-15 长鑫存储技术有限公司 Metal conductive structure and preparation method of semiconductor device

Also Published As

Publication number Publication date
TW200929384A (en) 2009-07-01

Similar Documents

Publication Publication Date Title
US7732327B2 (en) Vapor deposition of tungsten materials
US8513116B2 (en) Atomic layer deposition of tungsten materials
US7405158B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US8835311B2 (en) High temperature tungsten metallization process
US6797340B2 (en) Method for depositing refractory metal layers employing sequential deposition techniques
TWI394858B (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US20100102417A1 (en) Vapor deposition method for ternary compounds
TWI493058B (en) Atomic layer deposition of tungsten materials
KR20200125918A (en) Methods of improving tungsten contact resistance in small critical dimension features
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
KR20140141686A (en) Tungsten feature fill
WO2010054075A2 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
WO2009042713A1 (en) Vapor deposition of tungsten materials
US10199267B2 (en) Tungsten nitride barrier layer deposition
TW202305162A (en) Methods of forming molybdenum contacts
WO2024054441A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08834007

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08834007

Country of ref document: EP

Kind code of ref document: A1