WO2003021826A1 - Method for measuring and compensating gain and phase imbalances in quadrature modulators - Google Patents

Method for measuring and compensating gain and phase imbalances in quadrature modulators Download PDF

Info

Publication number
WO2003021826A1
WO2003021826A1 PCT/US2002/027192 US0227192W WO03021826A1 WO 2003021826 A1 WO2003021826 A1 WO 2003021826A1 US 0227192 W US0227192 W US 0227192W WO 03021826 A1 WO03021826 A1 WO 03021826A1
Authority
WO
WIPO (PCT)
Prior art keywords
phase
frequency
gain
input
imbalance
Prior art date
Application number
PCT/US2002/027192
Other languages
French (fr)
Inventor
Zivi Nadiri
Original Assignee
Envara Ltd.
Friedman, Mark, M.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Envara Ltd., Friedman, Mark, M. filed Critical Envara Ltd.
Priority to US10/479,056 priority Critical patent/US20040165678A1/en
Publication of WO2003021826A1 publication Critical patent/WO2003021826A1/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/32Carrier systems characterised by combinations of two or more of the types covered by groups H04L27/02, H04L27/10, H04L27/18 or H04L27/26
    • H04L27/34Amplitude- and phase-modulated carrier systems, e.g. quadrature-amplitude modulated carrier systems
    • H04L27/36Modulator circuits; Transmitter circuits
    • H04L27/362Modulation using more than one carrier, e.g. with quadrature carriers, separately amplitude modulated
    • H04L27/364Arrangements for overcoming imperfections in the modulator, e.g. quadrature error or unbalanced I and Q levels
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03DDEMODULATION OR TRANSFERENCE OF MODULATION FROM ONE CARRIER TO ANOTHER
    • H03D7/00Transference of modulation from one carrier to another, e.g. frequency-changing
    • H03D7/16Multiple-frequency-changing
    • H03D7/165Multiple-frequency-changing at least two frequency changers being located in different paths, e.g. in two paths with carriers in quadrature
    • H03D7/166Multiple-frequency-changing at least two frequency changers being located in different paths, e.g. in two paths with carriers in quadrature using two or more quadrature frequency translation stages
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F1/00Details of amplifiers with only discharge tubes, only semiconductor devices or only unspecified devices as amplifying elements
    • H03F1/32Modifications of amplifiers to reduce non-linear distortion
    • H03F1/3241Modifications of amplifiers to reduce non-linear distortion using predistortion circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F1/00Details of amplifiers with only discharge tubes, only semiconductor devices or only unspecified devices as amplifying elements
    • H03F1/32Modifications of amplifiers to reduce non-linear distortion
    • H03F1/3241Modifications of amplifiers to reduce non-linear distortion using predistortion circuits
    • H03F1/3247Modifications of amplifiers to reduce non-linear distortion using predistortion circuits using feedback acting on predistortion circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/16Circuits
    • H04B1/30Circuits for homodyne or synchrodyne receivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • H04B1/403Circuits using the same oscillator for generating both the transmitter frequency and the receiver local oscillator frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03DDEMODULATION OR TRANSFERENCE OF MODULATION FROM ONE CARRIER TO ANOTHER
    • H03D2200/00Indexing scheme relating to details of demodulation or transference of modulation from one carrier to another covered by H03D
    • H03D2200/0041Functional aspects of demodulators
    • H03D2200/0084Lowering the supply voltage and saving power
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B2001/0408Circuits with power amplifiers
    • H04B2001/0425Circuits with power amplifiers with linearisation using predistortion
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/0014Carrier regulation
    • H04L2027/0016Stabilisation of local oscillators

Definitions

  • Quadrature modulators (sometimes referred to as IQ modulators), in particular those used in RFIC (Radio Frequency Integrated Circuits) operating at high frequencies in the GHz range, may incur significant gain and phase imbalances in the base-band path, as well as orthogonality phase imbalance in the local oscillator path.
  • the effect of these impairments generally denoted as gain and phase imbalances (or "IQ" imbalance), is distortion of the transmitted signal, which translates to reduced or even unacceptable performance.
  • quadrature modulators In many cases it is not practical, and sometimes even not feasible, to design and build quadrature modulators with sufficiently low values of gain and phase imbalances. However, if the quadrature modulator gain and phase imbalances can be estimated, there exist known methods to compensate or equivalently pre-distort the transmitted input signal, thus canceling their effect. Typically, the values of gain and phase imbalances are not fixed, and may change as a function of operating conditions, aging, etc., thus requiring a simple and efficient built-in method to perform these measurements and evaluate the compensation terms, on a timely basis, while the quadrature modulator is installed and operational.
  • the method applies a sequence of test signals at the input of the quadrature modulator, with the resulting output coupled to a detector and processed in order to evaluate estimates of modulator gain and phase imbalances. In normal transmit operation these estimates are used to compensate, or equivalently pre-distort, the transmitted signal, and as such to cancel the effects of the gain and phase imbalances on the transmitted signal.
  • test signals are sine waveforms with specific amplitude and phase, resulting in a specific signal at the quadrature modulator output.
  • the quadrature modulator gain and phase imbalances distort this signal as compared with an ideal quadrature modulator. This distortion is equivalent to the generation of additional spectral components, whose frequency, amplitude, and phase are a function of the modulator imbalance values.
  • a detector coupled to the modulator output performs a non-linear operation, which generates intermodulation products between the various spectral components.
  • the amplitude of the 2fj component is proportional to the modulator gain and phase imbalance terms, and that by controlling the amplitude and phase of the test signals, it is possible to derive these terms from the amplitude of the 2f component.
  • a method for calibrating a quadrature modulator having an I input and a Q input for inputting base-band I(t) and Q(t) signals, the modulator used to transmit quadrature modulated signals comprising: a) estimating in sequence values of modulator gain imbalances and of modulator phase imbalances while the modulator is operational, the estimating including inputting at least one test signal at a base-band frequency f] to the modulator to generate detected output signals having a term at frequency 2fj, first in the gain imbalance estimation, then in the phase imbalance estimation, and computing the gain and phase imbalances based on the 2fi term of the detected output signals, and b) in normal transmit operation, compensating first for the gain and then for the phase imbalances to obtain an essentially ideal quadrature modulated signal, the compensating including inputting a transmission signal to the modulator, and based on the computed gain and phase imbalances, applying a pre-distortion transformation on the input transmission signal.
  • the computing of the gain imbalance is based on an iterative operation that includes modifying the test signals and repeating the measurement of the detected output signal terms at frequency 2f; until reaching a reference value of the detected output signal.
  • the method further includes: for the gain imbalance, inputting in a first step a cosine waveform at the I input, and a zero waveform at the Q input, and in a second step a zero waveform at the I input and the same cosine waveform at the Q input, and, for the phase imbalance, inputting in a first step at the I and Q inputs two sine waveforms of equal amplitude and frequency but shifted by -90° + Q ⁇ as given by eqn. 17, and, optionally, inputting in a second step two sine waveforms of equal amplitude and frequency but shifted by +90° + ⁇ 2 as given by eqn. 21.
  • the computing of the phase imbalances includes computing separately a base-band phase imbalance ⁇ and a local oscillator orthogonality phase imbalance ⁇ , using the inputting of test signals and an iterative operation that includes modifying the test signals by varying the phases ⁇ and ⁇ 2 and repeating the measurement of the detector output signals until effectively cancelling the signal tenns at frequency 2fj .
  • the reference value mentioned above is the result of the first measurement of the detected output amplitude at frequency 2fj as generated by a first test signal.
  • the inputting of at least one test signal at a base-band frequency f includes inputting a plurality N of test signals, each at a different base-band frequency fj(N), and the applying of a pre-distortion transformation on the input transmission signal includes applying a frequency-dependent pre-distortion transformation.
  • FIG. 1 shows a top level block diagram of the system including a quadrature modulator in which the method of the present invention is applied;
  • FIG. 2 shows a general block diagram illustrating the main steps of the method
  • FIG. 3 shows the signal flow through the quadrature modulator
  • FIG. 4 shows a gain imbalance measurement flow diagram
  • FIG. 5 shows a phase imbalance measurement flow diagram
  • a quadrature modulator 12 receives as input test signals from a test signal generator 14, specifically sine waveforms with specific amplitude and phase, resulting in a specific output signal 16 at the quadrature modulator output.
  • the quadrature modulator amplitude and phase imbalances distort this signal as compared with an ideal quadrature modulator. This distortion is equivalent to the generation of additional spectral components whose frequency, amplitude, and phase are functions of the modulator imbalance values.
  • a sample of the output signal is coupled through an RF coupler 22 into a detector 18, which performs a non-linear operation that generates inter-modulation products between the various spectral components of the output signal, including a component at 2fj, where fj is the frequency of the input sine waveform.
  • a detector output 20 is processed in a follow-up band-pass filter 24, which extracts the component at 2fj, while rejecting the unwanted components.
  • a filter output 30 is then input to a processor 32, preferably a digital processor, which computes estimates 34 of the gain and phase imbalance values.
  • filter 24 can be implemented as part of processor 32.
  • an input signal 40 is passed through a pre-distortion device 42 that performs a pre-distortion transformation on the signal, which compensates the imbalance effects of the quadrature modulator.
  • Computed estimates 34 are used to program pre-distortion device 42.
  • Methods to design and program compensation devices such as device 42 are well known in the art.
  • FIG. 2 shows a general block diagram of the method of the present invention.
  • the measurement and calibration phase consists of the following steps, which are performed in sequence in order to evaluate first the gain imbalance terms and then repeated in order to evaluate the phase imbalance terms.
  • Signal generator 14 in FIG. 1 in a generating step 102 provides a test input signal.
  • the test signals are then transmitted through quadrature modulator 12 to produce an output signal 16 that includes gain and phase distortion in a test signal transmission step 104.
  • Detector 18 detects signal 16 in a detection step 106 in which the detector output is also measured.
  • Processor 32 then performs a gain and phase imbalance computing step 108, using the detector measurement. First, an estimate of gain imbalance is computed in an iterative way as shown by the arrow 112, by modifying the test input and repeating steps 102, 104 and 106 until the measured output reaches a predetermined (reference) value.
  • a programming step 110 is carried out in processor 32, which programs the pre-distortion device to compensate for the gain imbalance effect.
  • a similar sequence is carried out for compensating the phase imbalance effect.
  • the transmitter is switched to a "normal" transmit operational mode.
  • a pre-distortion transformation 152 is applied on a transmit signal 150 by pre-distortion device 42.
  • the pre-distorted signal is then transmitted through quadrature modulator 12 in a transmitting step 154.
  • the pre-distorted signal incurs existing gain and phase imbalances, however due to the pre-distortion, the net effect is almost an "ideal" quadrature modulated signal.
  • An ideal quadrature modulator implements the following mathematical operation on a pair of input signals 1(f) and Q(t):
  • Y(t) I(t)sin( ⁇ >Lot) + Q(t)cos( ⁇ LO t) (1)
  • I(t) and Q(t) are the base-band input signals and GO LO - ⁇ f o is the local oscillator (LO) radial frequency (rad/sec).
  • the operation of a "practical" quadrature modulator that experiences gain and phase imbalances is shown in FIG. 3.
  • the modulator has two input ports, one denoted I, or "in-phase” port 50, and the other Q or "quadrature” port 60.
  • the corresponding base-band input signals incur gain and phase imbalances. Without any loss of generality, we can represent all imbalance effects as occurring in the path of the I signal.
  • a block 52 represents the relative phase shift ⁇ between the I and Q paths, while a block 54 represents the amplitude gain imbalance ratio 1+ ⁇ between the I and Q paths.
  • the values ⁇ and ⁇ may be frequency dependent, i.e. function of input signal frequency fj.
  • the I signal (distorted due to gain and phase imbalances) is input to the base-band input of a first mixer 70 while a first local oscillator signal 72 at frequency f o is input to the first mixer LO port.
  • a mixer such as mixer 70 effectively performs a multiplication between both input signals, thus frequency up-converting the input base-band signal.
  • the Q signal is input to a second mixer 74, with a second local oscillator signal 76 also at frequency f o at the second mixer LO port, thus frequency up-converting the Q input base-band signal.
  • the phase relation between the two up-converted signals is dependent on the relative phase between the two local oscillator signals.
  • Local oscillator signals 72 and 76 are generated from a common input signal 78 by passing the input signal through a phase splitter device 80 having the property that its two outputs are 90° phase shifted (orthogonal) one with respect to the other.
  • a phase error block 82 represents a phase error ⁇ of this shifting, or, equivalently, an orthogonality phase imbalance ⁇ between the two local oscillator signals.
  • the orthogonality phase error is on the I path.
  • a combining network 90 adds the output of the two mixers into quadrature modulator output 16. Below, the following notation is used:
  • the quadrature modulator output including gain and phase (both base-band and orthogonality) imbalance is:
  • I'(t, ⁇ ) represents the phase shifted (by ⁇ ) transformation of the input I(t).
  • the quadrature modulator output for I and Q sine like inputs consists of two sideband carriers, one below the LO frequency at fLo- L and the other above it at fLo+fi-
  • the amplitude and phase of these sidebands is related to the input amplitude and phase, as well as to the values of modulator imbalance.
  • the output signal (16, FIG. 1) of the quadrature modulator is coupled via a coupler (22 in FIG. 1) into a detector (18 in FIG. 1).
  • the detector performs a nonlinear transformation on its input (signal 16), which can be approximated (square law detector) by:
  • Vj n is the input
  • V out is the output
  • K t is a proportionality factor. It is well known that when input Vj n contains more than one carrier, the output will contain inter-modulation terms. Proper filtering (filter 24 of FIG. 1) allows selection of a desired term. For I and Q sine inputs we get:
  • the filter extracts the term at 2f; while rejecting the unwanted terms. That is, while the modulator output has components at ⁇ o - fi and fLo + fi, the detected output has components at 2fj due to a non-linear effect.
  • the only relevant term (after filtering), Z is a beat component between the two sub-carriers at a frequency equal to the frequency difference between the two sub-carriers, or equivalently at twice the base-band input frequency, and with an amplitude So proportional to the product of their amplitudes, i.e.:
  • the method of the present invention includes two main stages:
  • Stage I evaluate the gain imbalance term ⁇ and apply the resulting compensation.
  • Stage II evaluate the phase imbalance terms ⁇ and ⁇ and apply the resulting compensation.
  • FIG. 4 shows the signal flow for the gain imbalance measurement.
  • step 1 a test signal on I input port (henceforth "I input") 50 with a zero signal on a Q input port (henceforth "Q input") 60, and measure the resulting (first) signal amplitude at the filtered detector 18 output (this first amplitude will be used as a reference value in the next step).
  • step 2 the inputs interchanged
  • step 2 The amplitude of the Q input test signal (step 2) is varied (up and down in small incremental steps) until the resulting signal amplitude at the detector output equals the reference value of step 1.
  • This method enables the measurement of gain imbalance independent of the amount of phase imbalance.
  • the gain imbalance is corrected by proper scaling of the I or Q inputs.
  • the signal spectrum of Y ⁇ t consists of two sub-carriers at fLo-fi HO and fLo+fj H , with almost equal amplitudes (equal when gain balance).
  • the filtered detector output i.e. the term at frequency 2fj equals to:
  • Step 2 Repeat the experiment while interchanging between I and Q test signals, that is transmit:
  • the equalization of the amplitudes via this iterative procedure enables solving for the gain imbalance term without any assumption on the exact form of the non-linear transformation function of a "practical" detector. Following the measurement and evaluation of the gain imbalance term, the signals transmitted on the I and Q ports are properly scaled to compensate for this effect.
  • FIG. 5 shows the signal flow for the phase imbalance measurement.
  • a sine test signal on I input 50 with a cosine (i.e. -90 phase relation) signal on Q input 60 we transmit in step 1 a sine test signal on I input 50 with a cosine (i.e. -90 phase relation) signal on Q input 60, and measure the resulting (first) signal amplitude at the filtered detector 18 output.
  • the input test signal is such that ideally (with no phase imbalance) we should get a single sideband carrier 210. Due to the phase imbalance, we get also a small sideband component 212 at the image frequency. After detector 18, we get a component at frequency 2f,, whose amplitude is proportional to the phase imbalance.
  • step 2 The procedure is repeated in step 2 with new test inputs 50 and 60 selected such that the phase relation between inputs I and Q is (nominally) +90°.
  • a main sideband carrier 222 and a small sideband component 220 at the image frequency we get a a main sideband carrier 222 and a small sideband component 220 at the image frequency, however their frequency positions are reversed with respect to step 1.
  • is a control (tuning) phase variable
  • ⁇ 2 and ⁇ u 2 are phase terms of no interest. As expected, this signal has its large component at frequency f o +fi while in Step 1 we got it at fLo -fi- Similar to Step 1, the filtered detector output (i.e. the term at frequency 2fj) equals:
  • the proposed method uses in its preferred embodiment test input signals with controlled amplitude and phase. It is well known that the gain and phase imbalances reflect on the spectral contents of the output, requiring a complex narrow band receiver to extract this information.
  • the proposed method uses a sequence of test signals at base-band frequency f; together with a detector to analyze the amplitude of the detector output at frequency 2£. This output term is proportional to the gain and phase imbalances and, by controlling the test signals it is possible to compute an estimate of the gain and phase imbalances.
  • the test inputs are selected such that the value of the term at frequency 2f; has sufficient immunity from measurement and detector generated noise, thus generating accurate results.
  • the gain and phase imbalance measurements can be performed at several values N of the input frequency fj, thus mapping their frequency dependence.
  • N For single carrier modulation, we typically perform compensation by using an average (or mid-frequency) value of the gain and phase imbalances, however, for multi-carrier modulation, such as Orthogonal Frequency Division Multiplexing (OFDM), frequency dependent compensation based on the 2fj component (N) can be applied individually for each carrier.
  • OFDM Orthogonal Frequency Division Multiplexing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Digital Transmission Methods That Use Modulated Carrier Waves (AREA)

Abstract

A simple and efficient method to measure base-band gain and phase imbalance as well as orthogonality phase imbalance in a quadrature (IQ) modulator (12). The method comprises estimating values of modulator gain and phase imbalances (34) while the modulator is operational, by inputting at least one test signal at a base-band frequency 2fi and computing the imbalances based on the 2fi term, the computed imbalances then used in normal transmit operation to generate a pre-distortion transformation on the transmit signal to generate an imbalance compensation. The method can be easily expanded to cope with frequency dependent base-band amplitude and phase imbalance. This feature has an advantage when the transmitted signal is a multi-carrier signal, as the compensation can be adapted for each individual carrier.

Description

METHOD FOR MEASURING AND COMPENSATING GAIN AND PHASE IMBALANCES IN QUADRATURE MODULATORS
FIELD AND BACKGROUND OP THE INVENTION The present invention relates to measurement and calibration of quadrature modulators as used in transmitters for digital communication. Quadrature modulators (sometimes referred to as IQ modulators), in particular those used in RFIC (Radio Frequency Integrated Circuits) operating at high frequencies in the GHz range, may incur significant gain and phase imbalances in the base-band path, as well as orthogonality phase imbalance in the local oscillator path. The effect of these impairments, generally denoted as gain and phase imbalances (or "IQ" imbalance), is distortion of the transmitted signal, which translates to reduced or even unacceptable performance.
In many cases it is not practical, and sometimes even not feasible, to design and build quadrature modulators with sufficiently low values of gain and phase imbalances. However, if the quadrature modulator gain and phase imbalances can be estimated, there exist known methods to compensate or equivalently pre-distort the transmitted input signal, thus canceling their effect. Typically, the values of gain and phase imbalances are not fixed, and may change as a function of operating conditions, aging, etc., thus requiring a simple and efficient built-in method to perform these measurements and evaluate the compensation terms, on a timely basis, while the quadrature modulator is installed and operational.
SUMMARY OF THE INVENTION
The method applies a sequence of test signals at the input of the quadrature modulator, with the resulting output coupled to a detector and processed in order to evaluate estimates of modulator gain and phase imbalances. In normal transmit operation these estimates are used to compensate, or equivalently pre-distort, the transmitted signal, and as such to cancel the effects of the gain and phase imbalances on the transmitted signal.
The method proposed herein uses a sequence of test input signals, which, combined with the operation of the detector circuit, provides a simple and accurate evaluation of the modulator imbalance terms. In a preferred embodiment, the test signals are sine waveforms with specific amplitude and phase, resulting in a specific signal at the quadrature modulator output. The quadrature modulator gain and phase imbalances distort this signal as compared with an ideal quadrature modulator. This distortion is equivalent to the generation of additional spectral components, whose frequency, amplitude, and phase are a function of the modulator imbalance values. A detector coupled to the modulator output performs a non-linear operation, which generates intermodulation products between the various spectral components. It is shown below that the amplitude of the 2fj component, where fj is the frequency of the input sine waveform, is proportional to the modulator gain and phase imbalance terms, and that by controlling the amplitude and phase of the test signals, it is possible to derive these terms from the amplitude of the 2f component. According to the present invention, there is provided a method for calibrating a quadrature modulator having an I input and a Q input for inputting base-band I(t) and Q(t) signals, the modulator used to transmit quadrature modulated signals, comprising: a) estimating in sequence values of modulator gain imbalances and of modulator phase imbalances while the modulator is operational, the estimating including inputting at least one test signal at a base-band frequency f] to the modulator to generate detected output signals having a term at frequency 2fj, first in the gain imbalance estimation, then in the phase imbalance estimation, and computing the gain and phase imbalances based on the 2fi term of the detected output signals, and b) in normal transmit operation, compensating first for the gain and then for the phase imbalances to obtain an essentially ideal quadrature modulated signal, the compensating including inputting a transmission signal to the modulator, and based on the computed gain and phase imbalances, applying a pre-distortion transformation on the input transmission signal.
According to one feature in the method of the present invention, the computing of the gain imbalance is based on an iterative operation that includes modifying the test signals and repeating the measurement of the detected output signal terms at frequency 2f; until reaching a reference value of the detected output signal.
According to another feature in the method of the present invention, the method further includes: for the gain imbalance, inputting in a first step a cosine waveform at the I input, and a zero waveform at the Q input, and in a second step a zero waveform at the I input and the same cosine waveform at the Q input, and, for the phase imbalance, inputting in a first step at the I and Q inputs two sine waveforms of equal amplitude and frequency but shifted by -90° + Q\ as given by eqn. 17, and, optionally, inputting in a second step two sine waveforms of equal amplitude and frequency but shifted by +90° + θ2 as given by eqn. 21.
According to yet another feature in the method of the present invention, the computing of the phase imbalances includes computing separately a base-band phase imbalance Δθ and a local oscillator orthogonality phase imbalance Δφ, using the inputting of test signals and an iterative operation that includes modifying the test signals by varying the phases θι and θ2 and repeating the measurement of the detector output signals until effectively cancelling the signal tenns at frequency 2fj .
According to yet another feature in the method of the present invention, the reference value mentioned above is the result of the first measurement of the detected output amplitude at frequency 2fj as generated by a first test signal.
According to yet another feature in the method of the present invention, the inputting of at least one test signal at a base-band frequency f, includes inputting a plurality N of test signals, each at a different base-band frequency fj(N), and the applying of a pre-distortion transformation on the input transmission signal includes applying a frequency-dependent pre-distortion transformation.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention is herein described, by way of example only, with reference to the accompanying drawings, wherein: FIG. 1 shows a top level block diagram of the system including a quadrature modulator in which the method of the present invention is applied;
FIG. 2 shows a general block diagram illustrating the main steps of the method; FIG. 3 shows the signal flow through the quadrature modulator; FIG. 4 shows a gain imbalance measurement flow diagram; FIG. 5 shows a phase imbalance measurement flow diagram;
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Method overview
In FIG. 1, a quadrature modulator 12 receives as input test signals from a test signal generator 14, specifically sine waveforms with specific amplitude and phase, resulting in a specific output signal 16 at the quadrature modulator output. The quadrature modulator amplitude and phase imbalances distort this signal as compared with an ideal quadrature modulator. This distortion is equivalent to the generation of additional spectral components whose frequency, amplitude, and phase are functions of the modulator imbalance values. A sample of the output signal is coupled through an RF coupler 22 into a detector 18, which performs a non-linear operation that generates inter-modulation products between the various spectral components of the output signal, including a component at 2fj, where fj is the frequency of the input sine waveform. A detector output 20 is processed in a follow-up band-pass filter 24, which extracts the component at 2fj, while rejecting the unwanted components. A filter output 30 is then input to a processor 32, preferably a digital processor, which computes estimates 34 of the gain and phase imbalance values. In other embodiments, filter 24 can be implemented as part of processor 32.
In normal transmit operation, an input signal 40 is passed through a pre-distortion device 42 that performs a pre-distortion transformation on the signal, which compensates the imbalance effects of the quadrature modulator. Computed estimates 34 are used to program pre-distortion device 42. Methods to design and program compensation devices such as device 42 are well known in the art.
FIG. 2 shows a general block diagram of the method of the present invention. The measurement and calibration phase consists of the following steps, which are performed in sequence in order to evaluate first the gain imbalance terms and then repeated in order to evaluate the phase imbalance terms.
Signal generator 14 in FIG. 1 in a generating step 102 provides a test input signal. The test signals are then transmitted through quadrature modulator 12 to produce an output signal 16 that includes gain and phase distortion in a test signal transmission step 104. Detector 18 then detects signal 16 in a detection step 106 in which the detector output is also measured. Processor 32 then performs a gain and phase imbalance computing step 108, using the detector measurement. First, an estimate of gain imbalance is computed in an iterative way as shown by the arrow 112, by modifying the test input and repeating steps 102, 104 and 106 until the measured output reaches a predetermined (reference) value. Finally, a programming step 110 is carried out in processor 32, which programs the pre-distortion device to compensate for the gain imbalance effect. A similar sequence is carried out for compensating the phase imbalance effect. Following the measurement and calibration stage, the transmitter is switched to a "normal" transmit operational mode. As shown in FIG. 2, the following steps are then performed: a pre-distortion transformation 152 is applied on a transmit signal 150 by pre-distortion device 42. The pre-distorted signal is then transmitted through quadrature modulator 12 in a transmitting step 154. The pre-distorted signal incurs existing gain and phase imbalances, however due to the pre-distortion, the net effect is almost an "ideal" quadrature modulated signal.
Quadrature modulator
To better understand the method, a short discussion of the quadrature modulator operation and the effect of gain and phase imbalances is presented below.
An ideal quadrature modulator implements the following mathematical operation on a pair of input signals 1(f) and Q(t):
Y(t) = I(t)sin(α>Lot) + Q(t)cos(ωLOt) (1) where I(t) and Q(t) are the base-band input signals and GOLO - πf o is the local oscillator (LO) radial frequency (rad/sec). The operation of a "practical" quadrature modulator that experiences gain and phase imbalances is shown in FIG. 3. The modulator has two input ports, one denoted I, or "in-phase" port 50, and the other Q or "quadrature" port 60. The corresponding base-band input signals incur gain and phase imbalances. Without any loss of generality, we can represent all imbalance effects as occurring in the path of the I signal. A block 52 represents the relative phase shift Δθ between the I and Q paths, while a block 54 represents the amplitude gain imbalance ratio 1+ε between the I and Q paths. The values ε and Δθ may be frequency dependent, i.e. function of input signal frequency fj.
The I signal (distorted due to gain and phase imbalances) is input to the base-band input of a first mixer 70 while a first local oscillator signal 72 at frequency f o is input to the first mixer LO port. As is well known, a mixer such as mixer 70 effectively performs a multiplication between both input signals, thus frequency up-converting the input base-band signal. The Q signal is input to a second mixer 74, with a second local oscillator signal 76 also at frequency f o at the second mixer LO port, thus frequency up-converting the Q input base-band signal. The phase relation between the two up-converted signals is dependent on the relative phase between the two local oscillator signals. Local oscillator signals 72 and 76 are generated from a common input signal 78 by passing the input signal through a phase splitter device 80 having the property that its two outputs are 90° phase shifted (orthogonal) one with respect to the other. A phase error block 82 represents a phase error Δφ of this shifting, or, equivalently, an orthogonality phase imbalance Δφ between the two local oscillator signals. Here too, without any loss of generality, we can assume the orthogonality phase error is on the I path. A combining network 90 adds the output of the two mixers into quadrature modulator output 16. Below, the following notation is used:
The quadrature modulator output, including gain and phase (both base-band and orthogonality) imbalance is:
Y(t) = (1+ε )I'(t, ΔΘ)sin(ωLOt+ Δφ) + Q(t)cos(ωLOt) (2)
and I'(t, Δθ) represents the phase shifted (by Δθ) transformation of the input I(t). When we deal with sine/cosine waveforms, then for 1(f) = Acos(ωjt + θ) we have F(t, Δθ) = Acos(cθit + θ+ Δθ). So:
Figure imgf000008_0001
Q(t) = Bcos(cθif)
and
Y(t) = (1+ε )Acos(ωjt + 0+ ΔΘ)sin(ωLOt+ Δφ) + Bcos(ωit)cos(ωLOt) (3)
It is well known that this modulated waveform can be put in a form:
Y(t) = SLcos[(ooLo-G)i)t+ ψL) + Sucos[(ωLO+ωi)t+ ψu) (4)
showing that the quadrature modulator output for I and Q sine like inputs consists of two sideband carriers, one below the LO frequency at fLo- L and the other above it at fLo+fi- The amplitude and phase of these sidebands is related to the input amplitude and phase, as well as to the values of modulator imbalance. As shown below in the detailed method derivation, we preferably select specific values for the I and Q input amplitude and phase, in order to derive a simple dependency on the imbalance terms. Meanwhile, let us look at an interesting example:
Example: 1(f), Q(t) sine/cosine waveforms with equal amplitude and frequency, but shifted by 90 degrees one with respect to the other, e.g.:
I(t) = Acos(<Bit -90°) - Asin(coif) Q(t) = Acos(cdif)
Ignoring the modulator imbalance we get:
Y(t) = Asin(ωjf)sin(cθLot) + Acos(ω;t)cos(ωLot) = Acos[(ωLo- ω;)t] (5)
i.e. the output contains a single sideband carrier at frequency fLo - fj = (G>LO - ω;)/2π. Now, for the same sine inputs waveforms, we compute the effect of gain and phase imbalances:
Y(t) = A(l+ε)sin(ωjt + ΔΘ)sin(ωLot + Δφ) + Acos(ωit)cos(ωLot) (6)
By standard trigonometric manipulation we can show that:
Y(f) = SLcos[(ωLo-ωi)t+ ψL) + Sucos[(ωLo+ωi)t+ ψu) (7)
where:
SL = A k + e)∞82 £ .) + ε> ,4 ^ A(\ + εl2) (8)
Su = AMI + ε) sin2 ^ + AΘ) + ε 2 14 =~ A[ε/2 + (Aφ + AΘ)/2] (9)
and where the approximations hold for sufficiently small values of the imbalance terms. This expression shows that with gain and phase imbalances, we get a small component at the image frequency f o + i with amplitude proportional to the imbalance terms (in addition to the main term at fLo - fi whose amplitude is only slightly changed due to the gain imbalance).
Detector The output signal (16, FIG. 1) of the quadrature modulator is coupled via a coupler (22 in FIG. 1) into a detector (18 in FIG. 1). The detector performs a nonlinear transformation on its input (signal 16), which can be approximated (square law detector) by:
Figure imgf000010_0001
where Vjn is the input, Vout is the output and Kt is a proportionality factor. It is well known that when input Vjn contains more than one carrier, the output will contain inter-modulation terms. Proper filtering (filter 24 of FIG. 1) allows selection of a desired term. For I and Q sine inputs we get:
Vin = K2Y(t) = K2[SLcos[(a>Lo-coi)t+ ψL) + Sucos[(ωLo+cθi)t+ Ψu)] (10)
The filter extracts the term at 2f; while rejecting the unwanted terms. That is, while the modulator output has components at ^o - fi and fLo + fi, the detected output has components at 2fj due to a non-linear effect. Then, the only relevant term (after filtering), Z, is a beat component between the two sub-carriers at a frequency equal to the frequency difference between the two sub-carriers, or equivalently at twice the base-band input frequency, and with an amplitude So proportional to the product of their amplitudes, i.e.:
Z = SDcos(2ωit + ψ) = kSuS cos(2ωit + ψ) (11)
where k is a proportionality factor and ψ is a phase term (of no interest). Substituting in the above equation the values for the example above (eqn. 8 and 9), So is proportional to the imbalance terms, i.e. SD ~= kA2(l+ ε/2 )[ε/2 + (ΔΘ+Δφ)/2] — K[ε/2 + (ΔΘ+Δφ)/2] (12)
and where the approximations hold for sufficiently small imbalance terms. The non-linear transformation function of a "practical" detector may deviate from the above simple function (square law). As it will be shown in the detailed derivation for the gain and phase imbalance measurements, the proposed method is insensitive to the knowledge of the exact description of the detector transformation function.
Method Implementation The method of the present invention includes two main stages:
Stage I: evaluate the gain imbalance term ε and apply the resulting compensation.
Stage II: evaluate the phase imbalance terms Δθ and Δφ and apply the resulting compensation.
Stage I - Gain Imbalance: FIG. 4 shows the signal flow for the gain imbalance measurement. To evaluate the gain imbalance between the I and Q base-band paths, we transmit in step 1 (as shown in more detail below) a test signal on I input port (henceforth "I input") 50 with a zero signal on a Q input port (henceforth "Q input") 60, and measure the resulting (first) signal amplitude at the filtered detector 18 output (this first amplitude will be used as a reference value in the next step). The experiment is repeated with the inputs interchanged ("step 2", see below), that is a test signal on Q input 60 and zero signal on I input 50, measuring the resulting (second) signal amplitude at the detector output. The amplitude of the Q input test signal (step 2) is varied (up and down in small incremental steps) until the resulting signal amplitude at the detector output equals the reference value of step 1. This method enables the measurement of gain imbalance independent of the amount of phase imbalance. The gain imbalance is corrected by proper scaling of the I or Q inputs.
Stage I - detailed procedure
Step 1:
Transmit the following signals: L(t) = Acos(cθif) (13) Ql(t) = o
Then
Yι(t) = A(l+ε)cos(ωit + ΔΘ)sin(ωLot + Δφ)
The signal spectrum of Y^t) consists of two sub-carriers at fLo-fi HO and fLo+fj H , with almost equal amplitudes (equal when gain balance). The filtered detector output (i.e. the term at frequency 2fj) equals to:
Zι(t) = kA2(l+ ε)2cos(2ωit+ψ (14)
where k is a proportionality factor and ψj a phase term of no interest. Subscript "1" refers to parameters of step 1.
Step 2: Repeat the experiment while interchanging between I and Q test signals, that is transmit:
l2(t) = 0 (15)
Q2(t) = A(l+Δ)cos(ωit) where Δ is a control variable of the Q input amplitude. Then: Y2(t) = A(l+Δ)cos(ωit)cos(ωLOt)
It is easily shown that the filtered detector output (i.e. the term at frequency 2fi) equals
Z2(t) = kA2 (l+Δ)2cos(2ωit+ψ2) (16)
where k is a proportionality factor and ψ2 a phase term of no interest. Subscript "2" refers to parameters of step 2. Under the assumption of a square-law detector and with Δ=0, the gain imbalance can be easily derived from the ratio of the amplitudes of Z\ and Z2 (equations 14 and 16) as amp(Z1)/amp(Z2) = (1+ ε)2. Instead of computing this ratio, we use the measured amplitude of Z\ (of step 1) as a reference value, and iteratively modify the input amplitude in step 2 (up and down in small incremental steps via the control variable Δ) until the resulting amplitude of Z equals the reference value. Let Λ be the value of Δ for which this equality occurs. Then:
ε = Δ
The equalization of the amplitudes via this iterative procedure enables solving for the gain imbalance term without any assumption on the exact form of the non-linear transformation function of a "practical" detector. Following the measurement and evaluation of the gain imbalance term, the signals transmitted on the I and Q ports are properly scaled to compensate for this effect.
Stage II - Phase Imbalance: FIG. 5 shows the signal flow for the phase imbalance measurement. To evaluate the phase imbalance between the I and Q base-band paths, as well as the orthogonality phase imbalance in the LO path, we transmit in step 1 a sine test signal on I input 50 with a cosine (i.e. -90 phase relation) signal on Q input 60, and measure the resulting (first) signal amplitude at the filtered detector 18 output. The input test signal is such that ideally (with no phase imbalance) we should get a single sideband carrier 210. Due to the phase imbalance, we get also a small sideband component 212 at the image frequency. After detector 18, we get a component at frequency 2f,, whose amplitude is proportional to the phase imbalance. The procedure is repeated in step 2 with new test inputs 50 and 60 selected such that the phase relation between inputs I and Q is (nominally) +90°. In this case we get a a main sideband carrier 222 and a small sideband component 220 at the image frequency, however their frequency positions are reversed with respect to step 1. We measure the resulting (second) signal amplitude at the detector output. Based on the two measurements we could solve for both base-band phase imbalance Δθ, and orthogonality (local oscillator) phase imbalance Δφ. However, this would require a-priori calibration of the detector and knowledge of its non-linear transformation function. In the detailed description below we prefer a variation of the above procedure (suitable for "practical" detectors) where, instead of measuring the 2f; signal amplitude at detector output (requiring calibrated measurement), we shift the Q input with respect to the I input by a (known) control phase θ till we cancel the 2fi term. The θ value for which cancellation occurs is used to solve for Δθ and Δφ. We assume that gain imbalance measurements have been performed, and that the signals transmitted on the I and Q ports are properly scaled. However, phase imbalance measurements can also be performed while there is still a small residual gain imbalance.
Stage II - Detailed procedure
Step 1
Transmit two sine waveforms with equal amplitude and frequency, but phase-shifted with respect to the other by 90° - Θi where θi is a control (tuning) phase variable:
Iι(t) = Acos(ωit -900+ θ1) = Asin(ωit +θ1) (17)
Figure imgf000014_0001
Assuming gain balance (equivalently, gain imbalance has been pre-compensated) we get
Yι(t) = Asin(oθit + θι + ΔΘ)sin(ωLot + Δφ) + Acos(ωit)cos(ωLot) (18)
By standard trigonometric manipulation we can show that:
Yι(t) = SL1cos[(ωLO-ωi)t+ ψL1) + Sulcos[(ωLo+ωi)t+ ψπl) (19)
where:
SLi = Acos[(θ!+ΔΘ-Δφ)/2] = ~ A
Suι= A|sin [(θι+ΔΘ+Δφ) 2]| =~ A|(θ!+Δθ+Δφ)/2|
and the filtered detector output (i.e. the term at frequency 2fj) equals:
Zι(t) = kA2 |sin [(θ1+ΔΘ+Δφ)/2]|cos(2ωit+ψ1) (20) where k is a proportionality factor and ψ i is a phase term of no interest. Let β be the value of θ\ which cancels the beat component Zi.
^ = -(Δ# + Δζø)
β is evaluated by varying θι in small steps (within a range) till we cancel the beat component Zi. Note that in this step we found the combined base-band Δθ and local oscillator Δφ phase imbalance. For some quadrature modulators, Δθ is negligible, in which case Aφ =~ (-l)θ1 . However when this assumption is not valid, an additional step is required to solve individually for Δθ and Δφ, as explained below in step 2. When the measurement is noisy or there is a residual gain imbalance, β is the value that minimizes (not cancels) Z\.
Step 2:
We repeat the experiment but use the inputs:
I2(t) = Acos(ωft +90° + θ2) = -Asin(ωjt +θ2) (21)
Figure imgf000015_0001
where θ is a control (tuning) phase variable.
Y2(t) = -Asin(ωjt + θ2 + ΔΘ)sin(α)Lot + Δφ) + Acos(ωit)cos(ωLot) (22)
By standard trigonometric manipulation we get:
Y2(t) = SL2cos[(coLo-coi)t+ ψL2) + Su2cos[(ωLo+ωi)t+ ψU2) (23)
where:
SL2 = A|sin [(θ2+ΔΘ-Δφ)/2]| =~ A|(θ2+ΔΘ-Δφ)/2| Su2= Acos[(θ2+ΔΘ+Δφ)/2] = ~ A
Ψ 2 and ψu2 are phase terms of no interest. As expected, this signal has its large component at frequency f o +fi while in Step 1 we got it at fLo -fi- Similar to Step 1, the filtered detector output (i.e. the term at frequency 2fj) equals:
Z2(t) = kA2 |sin [(θ2+ΔΘ-Δφ)/2]|cos(2ωit+ ψ2) (24)
where k is a proportionality factor and ψ2 a phase term of no interest. Let β. be the value of θ2 which cancels the beat component Z2. θ2 = -Δ< + Δζ2>
Solving the two equations results in: Aβ = -(β[ + T2)l2 Δ^ = (-f^ + έ^)/2 Cancellation of the beat components Zi and Z2 via this iterative procedure enables solving for the phase imbalance terms without any assumption on the knowledge of the exact form of the non-linear transformation function of the detector. The resulting phase imbalance terms are used to generate a transformation on the input signals thus compensating for the quadrature modulator base-band and orthogonality phase imbalance. The compensation, equivalently pre-distortion methods are known and not repeated here.
In summary, the proposed method uses in its preferred embodiment test input signals with controlled amplitude and phase. It is well known that the gain and phase imbalances reflect on the spectral contents of the output, requiring a complex narrow band receiver to extract this information. However, in an innovative way and in contrast with prior art techniques, the proposed method uses a sequence of test signals at base-band frequency f; together with a detector to analyze the amplitude of the detector output at frequency 2£. This output term is proportional to the gain and phase imbalances and, by controlling the test signals it is possible to compute an estimate of the gain and phase imbalances. The test inputs are selected such that the value of the term at frequency 2f; has sufficient immunity from measurement and detector generated noise, thus generating accurate results. In addition, the gain and phase imbalance measurements can be performed at several values N of the input frequency fj, thus mapping their frequency dependence. For single carrier modulation, we typically perform compensation by using an average (or mid-frequency) value of the gain and phase imbalances, however, for multi-carrier modulation, such as Orthogonal Frequency Division Multiplexing (OFDM), frequency dependent compensation based on the 2fj component (N) can be applied individually for each carrier. For clarity, the sequence of measurement and compensation at each frequency ft is carried out as explained above.
All publications, patents and patent applications mentioned in this specification are herein incorporated in their entirety by reference into the specification, to the same extent as if each individual publication, patent or patent application was specifically and individually indicated to be incorporated herein by reference. In addition, citation or identification of any reference in this application shall not be construed as an admission that such reference is available as prior art to the present invention. While the invention has been described with respect to a limited number of embodiments, it will be appreciated that many variations, modifications and other applications of the invention may be made.

Claims

WHAT IS CLAIMED IS
1. A method for calibrating a quadrature modulator having an I input and a Q input for inputting base-band 1(f) and Q(t) signals, the modulator used to transmit quadrature modulated signals, comprising a. estimating in sequence values of modulator gain imbalances and of modulator phase imbalances while the modulator is operational, said estimating including: i. inputting at least one test signal at a base-band frequency ft to the modulator to generate detected output signals having a term at frequency 2ft, first in said gain imbalance estimation, then in said phase imbalance estimation, and ii. computing said gain and phase imbalances based on said 2ft term of said detected output signals, and b. in normal transmit operation, compensating first for said gain and then for said phase imbalances to obtain an essentially ideal quadrature modulated signal, said compensating including: iii. inputting a transmission signal to the modulator, and iv. based on said computed gain and phase imbalances, applying a pre-distortion transformation on said input transmission signal.
2. The method of claim 1 , wherein said inputting includes: a. for said gain imbalance, inputting in a first step a cosine waveform at the I input, and a zero waveform at the Q input as given by eqn. 13, and in a second step a zero waveform at the I input and a cosine waveform at the Q input as given by eqn. 15, and, b. for said phase imbalance, inputting in a first step at the I and Q inputs two sine waveforms of equal amplitude and frequency but shifted by -90° + θ\ as given by eqn. 17, and, optionally, inputting in a second step two sine waveforms of equal amplitude and frequency but shifted by +90° + θ2 as given by eqn. 21.
3. The method of claim 1, wherein said computing of said gain imbalance is based on an iterative operation that includes modifying said test signals and repeating said measurement of said detected output signal terms at frequency 2ft until reaching a reference value of said output signal.
4. The method of claim 1, wherein said computing of said phase imbalances includes computing separately a base-band phase imbalance Δθ and a local oscillator orthogonality phase imbalance Δφ, using said inputting and an iterative operation that includes modifying said test signals and repeating said measurement of said detector output signals until effectively cancelling said detected output signal terms at frequency 2ft.
5. The method of claim 3, wherein said reference value is the result of the first measurement of the detected output amplitude at frequency 2ft as generated by a first test signal.
6. The method of claim 1, wherein said inputting at least one test signal at a base-band frequency ft includes inputting a plurality N of test signals, each at a different base-band frequency ft(N), and wherein said applying a pre-distortion transformation on said input transmission signal includes applying a frequency-dependent pre-distortion transformation.
PCT/US2002/027192 2001-09-05 2002-08-27 Method for measuring and compensating gain and phase imbalances in quadrature modulators WO2003021826A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/479,056 US20040165678A1 (en) 2002-08-27 2002-08-27 Method for measuring and compensating gain and phase imbalances in quadrature modulators

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31696201P 2001-09-05 2001-09-05
US60/316,962 2001-09-05

Publications (1)

Publication Number Publication Date
WO2003021826A1 true WO2003021826A1 (en) 2003-03-13

Family

ID=23231477

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2002/027192 WO2003021826A1 (en) 2001-09-05 2002-08-27 Method for measuring and compensating gain and phase imbalances in quadrature modulators
PCT/US2002/027191 WO2003021804A1 (en) 2001-09-05 2002-08-27 New rfic transceiver architecture and method for its use

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2002/027191 WO2003021804A1 (en) 2001-09-05 2002-08-27 New rfic transceiver architecture and method for its use

Country Status (2)

Country Link
TW (1) TW578406B (en)
WO (2) WO2003021826A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101258193B1 (en) 2011-11-22 2013-04-25 주식회사 이노와이어리스 I/q imbalance compensation apparatus and method for direct ub-conversion system
EP2725726A1 (en) * 2012-10-26 2014-04-30 Tektronix, Inc. Method and apparatus for magnitude and phase response calibration of receivers

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2949631A1 (en) 2009-08-28 2011-03-04 Thomson Licensing BROADBAND RECEIVING TRANSMITTING DEVICE FOR TRANSMITTING AND RECEIVING SIGNALS FROM A SELECTED CHANNEL IN A DYNAMICALLY EXTENDED BANDWIDTH
US10816655B2 (en) * 2016-12-07 2020-10-27 Texas Instruments Incorporated In-phase (I) and quadrature (Q) imbalance estimation in a radar system
US10693687B1 (en) 2019-03-28 2020-06-23 Intel Corporation Intermediate frequency on chip (IFoC) system
US11329375B1 (en) 2020-02-13 2022-05-10 Rockwell Collins, Inc. Differential quadrature radiating elements and feeds

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5396656A (en) * 1993-09-02 1995-03-07 Motorola, Inc. Method for determining desired components of quadrature modulated signals
US5694433A (en) * 1994-09-14 1997-12-02 Ericsson Inc. Efficient linear power amplification
US5930286A (en) * 1995-12-06 1999-07-27 Conexant Systems, Inc. Gain imbalance compensation for a quadrature receiver in a cordless direct sequence spread spectrum telephone
US6009317A (en) * 1997-01-17 1999-12-28 Ericsson Inc. Method and apparatus for compensating for imbalances between quadrature signals
US6034573A (en) * 1997-10-30 2000-03-07 Uniden San Diego Research & Development Center, Inc. Method and apparatus for calibrating modulation sensitivity

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3944747A (en) * 1972-08-24 1976-03-16 Zenith Radio Corporation Multiple channel FM stereo system
US4631496A (en) * 1981-04-06 1986-12-23 Motorola, Inc. Battery saving system for a frequency synthesizer
US5416449A (en) * 1994-05-23 1995-05-16 Synergy Microwave Corporation Modulator with harmonic mixers
US6118810A (en) * 1997-05-08 2000-09-12 Ericsson, Inc. Multi-channel base station/terminal design covering complete system frequency range
US6288618B1 (en) * 1999-12-20 2001-09-11 Agere Systems Guardian Corp. Logic-based architecture for FSK modulation and demodulation
US6348830B1 (en) * 2000-05-08 2002-02-19 The Regents Of The University Of Michigan Subharmonic double-balanced mixer
US6373422B1 (en) * 2000-10-26 2002-04-16 Texas Instruments Incorporated Method and apparatus employing decimation filter for down conversion in a receiver

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5396656A (en) * 1993-09-02 1995-03-07 Motorola, Inc. Method for determining desired components of quadrature modulated signals
US5694433A (en) * 1994-09-14 1997-12-02 Ericsson Inc. Efficient linear power amplification
US5930286A (en) * 1995-12-06 1999-07-27 Conexant Systems, Inc. Gain imbalance compensation for a quadrature receiver in a cordless direct sequence spread spectrum telephone
US6009317A (en) * 1997-01-17 1999-12-28 Ericsson Inc. Method and apparatus for compensating for imbalances between quadrature signals
US6034573A (en) * 1997-10-30 2000-03-07 Uniden San Diego Research & Development Center, Inc. Method and apparatus for calibrating modulation sensitivity

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101258193B1 (en) 2011-11-22 2013-04-25 주식회사 이노와이어리스 I/q imbalance compensation apparatus and method for direct ub-conversion system
WO2013077507A1 (en) * 2011-11-22 2013-05-30 Innowireless Co., Ltd. Apparatus and method of compensating for i/q imbalance in direct up-conversion system
US9042483B2 (en) 2011-11-22 2015-05-26 Innowireless Co., Ltd. Apparatus and method of compensating for I/Q imbalance in direct up-conversion system
EP2725726A1 (en) * 2012-10-26 2014-04-30 Tektronix, Inc. Method and apparatus for magnitude and phase response calibration of receivers
US8805313B2 (en) 2012-10-26 2014-08-12 Tektronix, Inc. Magnitude and phase response calibration of receivers

Also Published As

Publication number Publication date
TW578406B (en) 2004-03-01
WO2003021804A1 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
US20040165678A1 (en) Method for measuring and compensating gain and phase imbalances in quadrature modulators
US6771709B2 (en) System and method for direct transmitter self-calibration
US8855580B2 (en) Methods and apparatus for reducing own-transmitter interference in low-IF and zero-IF receivers
JP5237451B2 (en) I / Q calibration of transmission path and reception path in OFDMFDD communication system
JP3175580B2 (en) Adjustment device for quadrature modulator
CN101453226B (en) Local oscillation leakage elimination apparatus and method
CN100477489C (en) Quadrature demodulator using a FFT processor
US6980604B2 (en) Transmission device and transmission method
JP4106370B2 (en) Quadrature modulation apparatus calibration method, quadrature modulation apparatus, and wireless terminal test apparatus
US7920832B2 (en) Electrical device comprising analog frequency conversion circuitry and method for deriving characteristics thereof
JP2006523057A (en) Transceiver with I / Q mismatch compensation scheme
US20170257176A1 (en) Method and device for calibrating iq imbalance and dc offset of rf tranceiver
EP2501091A2 (en) A quadrature modulation system
Rampa I/Q compensation of broadband direct-conversion transmitters
US20050041754A1 (en) Method and appatatus for I/Q mismatch calibration of transmitter
Kim et al. A low-complexity i/q imbalance calibration method for quadrature modulator
WO2003021826A1 (en) Method for measuring and compensating gain and phase imbalances in quadrature modulators
Ramabadran et al. Digitally assisted wideband compensation of parallel RF signal paths in a transmitter
Khandelwal et al. A novel gain, phase and offset calibration scheme for wideband direct-conversion transmitters
CN101518014A (en) Calibrating DC offset and I/Q imbalance of analogue I/Q-modulator of transmitter
EP2736211B1 (en) Measurement of IQ imbalance in a vector modulator
Wisell A baseband time domain measurement system for dynamic characterization of power amplifiers with high dynamic range over large bandwidths
US11394413B2 (en) Characterising radio frequency signal processing paths
EP1916533A1 (en) Characterization of a frequency response for a frequency translation device
US8913693B2 (en) Quadrature modulator balancing system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG US UZ VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 10479056

Country of ref document: US

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP