WO1997028669B1 - Model-based predictive control of thermal processing - Google Patents

Model-based predictive control of thermal processing

Info

Publication number
WO1997028669B1
WO1997028669B1 PCT/US1997/001318 US9701318W WO9728669B1 WO 1997028669 B1 WO1997028669 B1 WO 1997028669B1 US 9701318 W US9701318 W US 9701318W WO 9728669 B1 WO9728669 B1 WO 9728669B1
Authority
WO
WIPO (PCT)
Prior art keywords
temperature
output
model
thermal process
future
Prior art date
Application number
PCT/US1997/001318
Other languages
French (fr)
Other versions
WO1997028669A1 (en
WO1997028669A9 (en
Filing date
Publication date
Application filed filed Critical
Priority to AU18435/97A priority Critical patent/AU1843597A/en
Priority to DE69728256T priority patent/DE69728256T2/en
Priority to EP97904022A priority patent/EP0879547B1/en
Priority to JP52776597A priority patent/JP3956057B2/en
Publication of WO1997028669A1 publication Critical patent/WO1997028669A1/en
Publication of WO1997028669B1 publication Critical patent/WO1997028669B1/en
Publication of WO1997028669A9 publication Critical patent/WO1997028669A9/en

Links

Abstract

A nonlinear model-based predictive temperature control system (100) is described for use in thermal process reactors. A multivariable temperature response is predicted using a nonlinear parameterized model of a thermal process reactor. The nonlinear parameterized model is implemented using a neural network. Predictions are made in an auto-regressive moving average fashion with a receding prediction horizon. Model predictions are incorporated into a control law for estimating the optimum future control strategy. The high-speed, predictive nature of the controller (62) renders it advantageous in multivariable rapid thermal processing reactors where fast response and high temperature uniformity are needed.

Claims

AMENDED CLAIMS[received by the International Bureau on 31 Jul> 1997 (31 07 97), onginal claims 1 , 5-8, 24-26 and 31 amended, remaining claims unchanged (5 pages)]
1. A temperature controlled thermal process reactor comprising; a reaction chamber enclosing an object to be heated; a source of thermal energy which heats said object; a thermal sensor which measures a temperature related to a temperature of said object and which provides an input signal representative of said temperature; and a model-based predictive temperature controller which receives said output signal representative of said temperature and which controls said source of thermal energy in response to said output signal.
2. The temperature controlled thermal process reactor of Claim 1, wherein the model-based predictive temperature controller comprises multivariable temperature control.
3. The temperature controlled rapid thermal process reactor of Claim 2, wherein the model-based predictive temperature cortroller comprises: a multivariable thermal process model which relates multivariable process input thermal energy to multivariable process output temperature; a prediction calculator which uses said thermal process model, to calculate a predicted nominal temperature output over a predetermined future time period; and a control calculator which uses said predicted nominal temperature output to calculate an optimum control strategy by which to control said source of thermal energy.
4. The temperature controlled thermal process reactor of Claim 3, wherein said prediction calculator calculates the predicted nominal temperature output using an auto-regressive moving average, having a predetermined prediction horizon.
5. The temperature controlled thermal process reactor of Claim 4, wherein the prediction calculator calculates an unoptimized initial estimate for a future control strategy.
6. The temperature controlled thermal process reactor of Claim 5, wherein said predicted nominal temperature output is calculated recursively over a
78 predetermined future time period using a recursive approximation strategy, said recursive approximation strategy beginning with said unoptimized initial estimate.
7. The temperature controlled thermal process reactor of Claim 6, wherein said thermal process model has parameters selected to substantially decouple the influence of system input variables from system input disturbance.
8. The temperature controlled thermal process reactor of Claim 3, wherein the control calculator compares said predicted nominal temperature output to a desired future temperature output and uses said comparison in a recursive algorithm to compute said optimum control strategy.
9. The temperature controlled thermal process reactor of Claim 3, wherein said thermal process model is a nonlinear model.
10. The temperature controlled thermal process reactor of Claim 3, wherein said thermal process model is based on a neural network.
11. The temperature controlled thermal process reactor of Claim 1, wherein the model-based predictive temperature controller comprises nonlinear multivariable temperature control.
12. The temperature controlled rapid thermal process reactor of Claim 11, wherein the nonlinear model-based predictive temperature controller comprises: a nonlinear multivariable thermal process model which relates multivariable process input thermal energy to multivariable process output temperature; a prediction calculator which uses said thermal process model, to calculate a predicted nominal temperature output over a predetermined future time period; and a control calculator which uses said predicted nominal temperature output to calculate an optimum control strategy by which to control said source of thermal energy.
13. The temperature controlled thermal process reactor of Claim 12, wherein said prediction calculator calculates the predicted nominal temperature output using a neural network.
14. The temperature controlled thermal process reactor of Claim 13, wherein the prediction calculator assumes a future control strategy.
79
15. The temperature controlled thermal process reactor of Claim 14, wherein said neural network is a feed forward network.
16. The temperature controlled thermal process reactor of Claim 15, wherein said neural network comprises a hidden layer of neurons.
17. The temperature controlled thermal process reactor of Claim 16, wherein said hidden layer of neurons comprises nonlinear sigmoid-type neurons.
18. The temperature controlled thermal process reactor of Claim 13, wherein said neural network is trained using a pseudo least squares method.
19. The temperature controlled thermal process reactor of Claim 12, wherein the control calculator compares said predicted nominal temperature output to a desired future temperature output to derive said optimum control strategy.
20. The temperature controlled thermal process reactor of Claim 1, further comprising a soflsensor model.
21. The temperature controlled thermal process reactor of Claim 20, wherein said soflsensor model is created from a dataset generated by using an instrumented wafer.
22. The temperature controlled thermal process reactor of Claim 1, further comprising a setpoint generator, said setpoint generator automatically generating a correction to said recipe inputs into said thermal process reactor, said correction facilitating control of actual wafer surface temperatures.
23. The temperature controlled thermal process reactor of Claim 22, said correction facihtating improved control of actual wafer surface temperatures based on measurement of susceptor temperatures.
24. A temperature control system for controlling a thermal process comprising; a controllable source of thermal energy which heats an object; a temperature sensor which measures a temperature related to a temperature of said object and which generates an output signal responsive to said temperature; and a model-based predictive temperature controller which receives said output signal representative of said temperature and which controls said source of thermal energy in response to said output signal, said controller comprising:
80 a thermal process model which relates process input thermal energy to a process output temperature; a prediction calculator which uses said thermal process model to calculate a predicted nominal temperature output over a predetermined future time period; and a control calculator which uses said predicted nominal temperature output to calculate an optimum strategy by which to control said source of thermal energy, said controller generating output signals to said source of thermal energy in response to said optimum strategy.
25. The temperature control system of Claim 24, wherein said thermal process model has parameters selected to substantially decouple the influence of system input variables from system input disturbances.
26. The temperature control system of Claim 24, wherein said prediction calculator includes a postulated future control strategy and a recursive algorithm to optimize said postulated future control strategy.
27. The temperature control system of Claim 24, wherein said thermal process model is a nonlinear model.
28. The temperature control system of Claim 27, wherein said thermal process model substantially decouples the influence of system input variables from system input disturbances.
29. The temperature control system of Claim 27, wherein said prediction calculator comprises a neural network.
30. The temperature control system of Claim 27, wherein said prediction calculator comprises a feed forward neural network, said prediction calculator having a receding calculation horizon.
31. A method of controlling a thermal process comprising the steps of: measuring a process output temperature; using a model to predict a future process output temperature; using said measured process output temperatures and said predicted future process temperature to calculate an optimum process input control strategy; and
81 controlling a process input thermal energy using the calculated optimum process input control strategy.
32. The method of Claim 31, wherein the step of predicting a future process output temperature comprises: identifying a thermal process model which relates process input thermal energy to process output temperature; and recursively predicting future process output temperatures using said thermal process model, said process output temperature predicted over a predetermined future time period.
33. The method of Claim 32, wherein the step of predicting future process output temperatures further comprises periodically updating said predictions in accordance with a receding horizon calculation.
34. The method of Claim 31, wherein the step of predicting a future process output temperature comprises postulating a stationary future control strategy.
35. The method of Claim 31 , wherein the step of calculating an optimum process input control strategy comprises comparing said predicted future process output temperatures to a desired future process output temperature.
36. The method of Claim 31 , wherein the step of predicting a future process output temperature comprises: identifying a nonlinear thermal process model which relates process input thermal energy to process output temperature; and training a neural network to predict future process output temperatures using said thermal process model, said process output temperature predicted over a predetermined future time period.
37. The method of Claim 36, wherein the step of predicting future process output temperatures further comprises periodically updating said predictions in accordance with a receding horizon calculation.
38. The method of Claim 36, wherein the step of predicting a future process output temperature comprises postulating a stationary future control strategy.
39. The method of Claim 36, wherein the step of calculating an optimum process input control strategy comprises comparing said predicted future process output temperatures to a desired future process output temperature.
82
PCT/US1997/001318 1996-01-31 1997-01-30 Model-based predictive control of thermal processing WO1997028669A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU18435/97A AU1843597A (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing
DE69728256T DE69728256T2 (en) 1996-01-31 1997-01-30 MODEL-BASED PREDICTIVE CONTROL FOR THERMAL TREATMENTS
EP97904022A EP0879547B1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing
JP52776597A JP3956057B2 (en) 1996-01-31 1997-01-30 Model reference predictive control of heat treatment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US59743896A 1996-01-31 1996-01-31
US08/597,438 1996-01-31

Publications (3)

Publication Number Publication Date
WO1997028669A1 WO1997028669A1 (en) 1997-08-07
WO1997028669B1 true WO1997028669B1 (en) 1997-09-12
WO1997028669A9 WO1997028669A9 (en) 1997-10-30

Family

ID=24391498

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/001318 WO1997028669A1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing

Country Status (7)

Country Link
US (2) US6207936B1 (en)
EP (1) EP0879547B1 (en)
JP (1) JP3956057B2 (en)
KR (1) KR100486158B1 (en)
AU (1) AU1843597A (en)
DE (1) DE69728256T2 (en)
WO (1) WO1997028669A1 (en)

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US20020046143A1 (en) * 1995-10-03 2002-04-18 Eder Jeffrey Scott Method of and system for evaluating cash flow and elements of a business enterprise
AU1843597A (en) 1996-01-31 1997-08-22 Asm America, Inc. Model-based predictive control of thermal processing
US6381504B1 (en) * 1996-05-06 2002-04-30 Pavilion Technologies, Inc. Method for optimizing a plant with multiple inputs
US8311673B2 (en) * 1996-05-06 2012-11-13 Rockwell Automation Technologies, Inc. Method and apparatus for minimizing error in dynamic and steady-state processes for prediction, control, and optimization
US7610108B2 (en) * 1996-05-06 2009-10-27 Rockwell Automation Technologies, Inc. Method and apparatus for attenuating error in dynamic and steady-state processes for prediction, control, and optimization
US6438430B1 (en) * 1996-05-06 2002-08-20 Pavilion Technologies, Inc. Kiln thermal and combustion control
US20080004922A1 (en) * 1997-01-06 2008-01-03 Jeff Scott Eder Detailed method of and system for modeling and analyzing business improvement programs
US20010034686A1 (en) * 1997-12-10 2001-10-25 Eder Jeff Scott Method of and system for defining and measuring the real options of a commercial enterprise
US10839321B2 (en) * 1997-01-06 2020-11-17 Jeffrey Eder Automated data storage system
EP0907117A1 (en) * 1997-09-05 1999-04-07 Communauté Européenne (CE) Nonlinear neural predictive control system
US6453308B1 (en) 1997-10-01 2002-09-17 Aspen Technology, Inc. Non-linear dynamic predictive device
US6401003B1 (en) * 1997-12-30 2002-06-04 Samsung Electronics Co., Ltd. Alarm system for semiconductor device fabrication facility
JP4551515B2 (en) * 1998-10-07 2010-09-29 株式会社日立国際電気 Semiconductor manufacturing apparatus and temperature control method thereof
DE19855637A1 (en) * 1998-12-02 2000-06-15 Aixtron Ag Process and system for semiconductor crystal production with temperature management
US20040215495A1 (en) * 1999-04-16 2004-10-28 Eder Jeff Scott Method of and system for defining and measuring the elements of value and real options of a commercial enterprise
US6694289B1 (en) * 1999-07-01 2004-02-17 International Business Machines Corporation Fast simulation method for single and coupled lossy lines with frequency-dependent parameters based on triangle impulse responses
US6560514B1 (en) 1999-09-23 2003-05-06 Kic Thermal Profiling Method and apparatus for optimizing control of a part temperature in conveyorized thermal processor
US6453219B1 (en) 1999-09-23 2002-09-17 Kic Thermal Profiling Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
JP3450240B2 (en) * 1999-11-25 2003-09-22 Necエレクトロニクス株式会社 Lamp annealing apparatus and processing temperature control method for lamp annealing apparatus
US6522990B1 (en) 1999-12-03 2003-02-18 General Electric Company Methods and apparatus for reducing temperature overshoot
JP2001210596A (en) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc Temperature control method of semiconductor manufacturing apparatus, semiconductor manufacturing apparatus, and manufacturing method of semiconductor device
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
TW505770B (en) * 2000-05-02 2002-10-11 Nishiyama Corp Temperature controller
CA2414707C (en) * 2000-06-29 2011-08-16 Aspen Technology, Inc. Computer method and apparatus for constraining a non-linear approximator of an empirical process
DE10032465A1 (en) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Method and device for the thermal treatment of objects
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US20090018891A1 (en) * 2003-12-30 2009-01-15 Jeff Scott Eder Market value matrix
US20040236673A1 (en) * 2000-10-17 2004-11-25 Eder Jeff Scott Collaborative risk transfer system
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) * 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6911628B1 (en) * 2001-01-25 2005-06-28 Yamatake Corporation Control system and control unit
KR100423183B1 (en) * 2001-03-21 2004-03-18 코닉 시스템 주식회사 Apparatus and method for temperature control in RTP using an adaptive control
US6606537B1 (en) * 2001-04-19 2003-08-12 Kic Thermal Profiling Method for correcting process temperature profile in a multi-zone thermal processor
NL1018086C2 (en) * 2001-05-16 2002-11-26 Asm Int Method and device for the thermal treatment of substrates.
JP4506030B2 (en) 2001-05-18 2010-07-21 東京エレクトロン株式会社 Heat treatment apparatus and film forming method
WO2002097540A1 (en) * 2001-05-25 2002-12-05 Parametric Optimization Solutions Ltd. Improved process control
DE10129141A1 (en) * 2001-06-16 2002-12-19 Abb Research Ltd Control and regulating methods and regulating device for starting or stopping a procedural component of a technical process
US7158840B2 (en) * 2001-06-29 2007-01-02 Cymer, Inc. Tuning control parameters of vibration reduction and motion control systems for fabrication equipment and robotic systems
WO2003006215A2 (en) * 2001-07-13 2003-01-23 Fsi International Robotic system control
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6810291B2 (en) * 2001-09-14 2004-10-26 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
FI115081B (en) * 2001-10-19 2005-02-28 Metso Automation Oy Method and apparatus for controlling the operation of a pulp department of a paper machine
EP1316866B1 (en) * 2001-11-29 2006-05-31 Abb Research Ltd. Optimal operation of a power plant
US7493470B1 (en) 2001-12-07 2009-02-17 Arc International, Plc Processor apparatus and methods optimized for control applications
US20040215522A1 (en) * 2001-12-26 2004-10-28 Eder Jeff Scott Process optimization system
US6785633B2 (en) * 2001-12-28 2004-08-31 General Electric Company Method and apparatus for assessing performance of combined cycle power-plants
US20030125906A1 (en) * 2001-12-28 2003-07-03 Guaglardi Paul A. Method and apparatus for assessing the impact of individual parts of a gas turbine component on the overall thermal performance of a gas turbine
US20080256069A1 (en) * 2002-09-09 2008-10-16 Jeffrey Scott Eder Complete Context(tm) Query System
US7730063B2 (en) * 2002-12-10 2010-06-01 Asset Trust, Inc. Personalized medicine service
US20110040631A1 (en) * 2005-07-09 2011-02-17 Jeffrey Scott Eder Personalized commerce system
US20080027769A1 (en) 2002-09-09 2008-01-31 Jeff Scott Eder Knowledge based performance management system
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
EP1343061A1 (en) * 2002-03-08 2003-09-10 Siemens Aktiengesellschaft Method for simulating a technical system and simulator
US7505877B2 (en) * 2002-03-08 2009-03-17 Johnson Controls Technology Company System and method for characterizing a system
US6864897B2 (en) * 2002-04-12 2005-03-08 Mitsubishi Electric Research Labs, Inc. Analysis, synthesis and control of data signals with temporal textures using a linear dynamic system
US7427329B2 (en) 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040019393A1 (en) * 2002-07-25 2004-01-29 Eileen Heider System and method for model base control
US6818864B2 (en) 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US7050863B2 (en) * 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6642486B1 (en) * 2002-11-07 2003-11-04 Illinois Tool Works, Inc. Method and apparatus for replicating heat profile of infrared oven
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
AU2003290932A1 (en) * 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US8463441B2 (en) 2002-12-09 2013-06-11 Hudson Technologies, Inc. Method and apparatus for optimizing refrigeration systems
DE10329107B4 (en) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Method for determining at least one state variable from a model of an RTP system
JP4461097B2 (en) * 2002-12-23 2010-05-12 マットソン サーマル プロダクツ ゲゼルシャフト ミット ベシュレンクテル ハフツング Method for determining the temperature of a semiconductor wafer in a high-speed heating device
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US20040181498A1 (en) * 2003-03-11 2004-09-16 Kothare Simone L. Constrained system identification for incorporation of a priori knowledge
US20040181300A1 (en) * 2003-03-11 2004-09-16 Clark Robert L. Methods, apparatus and computer program products for adaptively controlling a system by combining recursive system identification with generalized predictive control
ES2348409T3 (en) * 2003-03-21 2010-12-03 Aspen Technology, Inc. METHODS AND ARTICLES FOR DETECTION, VERIFICATION AND REPAIR OF COLLINALITIES.
DE10324045B3 (en) * 2003-05-27 2004-10-14 Siemens Ag System characteristics modelling method for dynamic system using similarity analysis for modification of known system characteristics supplied to neural network structure for causality analysis
US7181296B2 (en) * 2003-08-06 2007-02-20 Asml Netherlands B.V. Method of adaptive interactive learning control and a lithographic manufacturing process and apparatus employing such a method
JP4223894B2 (en) * 2003-08-21 2009-02-12 株式会社山武 PID parameter adjustment device
US20050044892A1 (en) * 2003-08-28 2005-03-03 George Stephan P. Method and apparatus for heating glass sheets
US7447664B2 (en) * 2003-08-28 2008-11-04 Boeing Co Neural network predictive control cost function designer
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US6964238B2 (en) * 2003-12-31 2005-11-15 Kimberly-Clark Worldwide, Inc. Process for making a garment having hanging legs
ATE419567T1 (en) * 2004-01-09 2009-01-15 Abb Research Ltd DEVICE FOR PROCESS CONTROL
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US6943327B2 (en) * 2004-02-04 2005-09-13 Lear Corporation Method for the progressive control of heating elements
US7400933B2 (en) * 2004-02-06 2008-07-15 Wisconsin Alumni Research Foundation SISO model predictive controller
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20090043637A1 (en) * 2004-06-01 2009-02-12 Eder Jeffrey Scott Extended value and risk management system
US20060020563A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Supervised neural network for encoding continuous curves
US20070036467A1 (en) * 2004-07-26 2007-02-15 Coleman Christopher R System and method for creating a high resolution material image
US20060017740A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Diurnal variation of geo-specific terrain temperatures in real-time infrared sensor simulation
US20060018566A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R System and method for adding spatial frequency into an image
JP4925571B2 (en) 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド Method for determining thermal properties of substrate and method for determining heat treatment conditions
JP4428175B2 (en) * 2004-09-14 2010-03-10 株式会社Sumco Vapor phase epitaxial growth apparatus and semiconductor wafer manufacturing method
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US20060167591A1 (en) * 2005-01-26 2006-07-27 Mcnally James T Energy and cost savings calculation system
US8713025B2 (en) 2005-03-31 2014-04-29 Square Halt Solutions, Limited Liability Company Complete context search system
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
US20060289795A1 (en) * 2005-06-02 2006-12-28 Dubois Dale R Vacuum reaction chamber with x-lamp heater
JP4839702B2 (en) * 2005-07-04 2011-12-21 オムロン株式会社 Temperature control method, adjustment device, temperature controller, program, recording medium, and heat treatment device
US7672739B2 (en) * 2005-08-11 2010-03-02 University Of South Florida System for multiresolution analysis assisted reinforcement learning approach to run-by-run control
GB2430764B (en) * 2005-09-30 2011-03-09 Fisher Rosemount Systems Inc On-line adaptive model predictive control in a process control system
US7451004B2 (en) * 2005-09-30 2008-11-11 Fisher-Rosemount Systems, Inc. On-line adaptive model predictive control in a process control system
US7957847B2 (en) * 2005-09-30 2011-06-07 Hitachi Global Storage Technologies Netherlands, B.V. Voltage regulating systems responsive to feed-forward information from deterministic loads
US8498915B2 (en) * 2006-04-02 2013-07-30 Asset Reliance, Inc. Data processing framework for financial services
US8527252B2 (en) * 2006-07-28 2013-09-03 Emerson Process Management Power & Water Solutions, Inc. Real-time synchronized control and simulation within a process plant
DE102006036585B4 (en) * 2006-08-04 2008-04-17 Mattson Thermal Products Gmbh Method and device for determining measured values
US7949417B2 (en) * 2006-09-22 2011-05-24 Exxonmobil Research And Engineering Company Model predictive controller solution analysis process
US7826909B2 (en) * 2006-12-11 2010-11-02 Fakhruddin T Attarwala Dynamic model predictive control
US7451054B2 (en) * 2007-01-30 2008-11-11 Tokyo Electron Limited Method of using a wafer-temperature-dependent profile library
JP5169046B2 (en) * 2007-07-20 2013-03-27 ウシオ電機株式会社 Light irradiation type heat treatment equipment
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US20090076773A1 (en) * 2007-09-14 2009-03-19 Texas Tech University Method for identifying unmeasured disturbances in process control test data
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
ES2337960T3 (en) * 2008-02-15 2010-04-30 Siemens Aktiengesellschaft RELIABLE ASSESSMENT OF A TEMPERATURE MEASUREMENT SIGNAL THROUGH THE DYNAMIC ADAPTATION OF A MATHEMATICAL MODEL.
US8332057B2 (en) * 2008-03-20 2012-12-11 University Of New Brunswick Method of multi-dimensional nonlinear control
US8209045B2 (en) * 2008-04-07 2012-06-26 Honeywell International Inc. System and method for discrete supply chain control and optimization using model predictive control
JP5562529B2 (en) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 Heat treatment equipment
US20090287320A1 (en) * 2008-05-13 2009-11-19 Macgregor John System and Method for the Model Predictive Control of Batch Processes using Latent Variable Dynamic Models
US8315746B2 (en) * 2008-05-30 2012-11-20 Apple Inc. Thermal management techniques in an electronic device
JP5251267B2 (en) * 2008-06-04 2013-07-31 富士電機株式会社 Control device
US8306772B2 (en) 2008-10-13 2012-11-06 Apple Inc. Method for estimating temperature at a critical point
CN102224380B (en) * 2008-11-25 2013-12-18 Utc消防及保安公司 Oxygen trim controller tuning during combustion system commissioning
US8260440B2 (en) * 2008-12-05 2012-09-04 The Regents Of The University Of Michigan Adaptive control based on retrospective cost optimization
US9758871B2 (en) * 2008-12-10 2017-09-12 Sumco Techxiv Corporation Method and apparatus for manufacturing epitaxial silicon wafer
DE102009016138A1 (en) * 2009-04-03 2010-10-14 Ipsen International Gmbh Method and computer program for controlling the heat treatment of metallic workpieces
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120284216A1 (en) * 2009-08-12 2012-11-08 International Business Machines Corporation Knowledge-Based Models for Data Centers
US8244502B2 (en) 2009-08-12 2012-08-14 International Business Machines Corporation Knowledge-based models for data centers
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011077702A1 (en) * 2009-12-25 2011-06-30 キヤノンアネルバ株式会社 Temperature control method for substrate heat treatment apparatus, method for producing semiconductor device, temperature control program and recording medium for substrate heat treatment apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9158313B2 (en) * 2012-07-25 2015-10-13 Broadcom Corporation System and method for supervised thermal management
SG11201501101PA (en) * 2012-09-24 2015-04-29 Nestec Sa Methods and systems for coordination of aseptic sterilization and aseptic package filling rate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9189575B2 (en) 2013-03-11 2015-11-17 Toyota Motor Engineering & Manufacturing North America, Inc. SVR dynamic system modeling with delayed output measurements
US20140365413A1 (en) * 2013-06-06 2014-12-11 Qualcomm Incorporated Efficient implementation of neural population diversity in neural system
US20150148981A1 (en) * 2013-11-24 2015-05-28 Qualcomm Incorporated System and method for multi-correlative learning thermal management of a system on a chip in a portable computing device
US9476584B2 (en) 2013-12-12 2016-10-25 General Electric Company Controlling boiler drum level
JP2017034295A (en) * 2013-12-13 2017-02-09 シャープ株式会社 Base station device, terminal device, transmission method, and reception method
DE102014201567B4 (en) 2014-01-29 2023-12-21 Bayerische Motoren Werke Aktiengesellschaft Model-based predictive starter control of an internal combustion engine
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150300888A1 (en) * 2014-04-21 2015-10-22 National Taiwan University Temperature prediction system and method thereof
US9715221B2 (en) 2014-05-01 2017-07-25 Aspen Technology, Inc. Online control calculation for models containing near colinearity and uncertainty
TWI621001B (en) * 2014-06-11 2018-04-11 蘇鴻德 A controller achieving multi-variable control using a single-variable control unit
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE112015004092T5 (en) * 2014-12-11 2017-07-06 Fuji Electric Co., Ltd. Control device, program for it and plant control procedures
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107615184B (en) * 2015-06-05 2021-02-09 国际壳牌研究有限公司 System and method for estimating and controlling background element switching of a model in an application for model prediction
US10671131B2 (en) 2015-06-05 2020-06-02 Apple Inc. Predictive control systems and methods
US10496054B2 (en) 2015-06-26 2019-12-03 Bayer Cropscience Ag Method for controlling technical processes with linearization
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
EP4012164A1 (en) 2016-03-02 2022-06-15 Watlow Electric Manufacturing Company Heating system
JP6647931B2 (en) * 2016-03-16 2020-02-14 株式会社Kelk Semiconductor wafer temperature control device and semiconductor wafer temperature control method
CN105751470B (en) * 2016-03-23 2017-12-12 广西科技大学 A kind of injection machine temperature real-time control method
CA3019170C (en) * 2016-04-08 2024-04-30 Dan Warkander Temperature-based estimation of scrubbing capacity of a gas scrubber
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10157761B2 (en) * 2016-08-17 2018-12-18 Kelk Ltd. Temperature controller of semiconductor wafer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP7148944B2 (en) * 2017-06-23 2022-10-06 国立大学法人広島大学 Control device
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
CN108694288B (en) * 2018-05-29 2021-04-30 中南大学 Method for rapidly acquiring set temperatures of walking beam type billet heating furnace under different yields
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN108776432B (en) * 2018-06-08 2021-10-01 哈尔滨工程大学 Airport runway detection robot prediction control method based on network
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109581870B (en) * 2018-11-27 2022-01-25 中国工程物理研究院化工材料研究所 Dynamic matrix control method for temperature in energetic material reaction kettle
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
CN109855763A (en) * 2018-12-29 2019-06-07 宏景科技股份有限公司 A kind of temperature sensor correction method based on BP neural network and PLC
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
TWI689221B (en) * 2019-03-14 2020-03-21 國家中山科學研究院 Base station active intelligent cooling system
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
CN113874993A (en) * 2019-03-29 2021-12-31 朗姆研究公司 Model-based scheduling for substrate processing systems
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11092354B2 (en) * 2019-06-20 2021-08-17 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
US11149976B2 (en) 2019-06-20 2021-10-19 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
US11604459B2 (en) 2019-07-12 2023-03-14 Emerson Process Management Power & Water Solutions, Inc. Real-time control using directed predictive simulation within a control system of a process plant
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
CN110442991B (en) * 2019-08-12 2021-05-04 江南大学 Dynamic sulfur recovery soft measurement modeling method based on parameterized FIR (finite Impulse response) model
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
DE102019130383A1 (en) * 2019-11-11 2021-05-12 Rational Aktiengesellschaft Method for controlling a preparation device, control unit and preparation device
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11441800B2 (en) 2020-01-07 2022-09-13 FPL Smart Services, LLC Autonomous machine learning diagonostic system with simplified sensors for home appliances
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
CN112181008B (en) * 2020-09-02 2022-06-21 珠海泰坦新动力电子有限公司 Intelligent control method, device and medium for heat source power of high-temperature formed cabinet
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
SE544494C2 (en) * 2020-10-21 2022-06-21 Senseair Ab Temperature controller for a temperature control mechanism
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
CN114510863A (en) * 2020-11-16 2022-05-17 鸿富锦精密电子(成都)有限公司 Reflow furnace temperature adjusting method and device, electronic equipment and storage medium
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN113203499B (en) * 2021-04-02 2022-03-18 华中科技大学 Transient temperature measurement calibration method and system
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023141135A (en) * 2022-03-23 2023-10-05 株式会社Screenホールディングス Heat treatment device
CN115268270A (en) * 2022-07-29 2022-11-01 华能伊敏煤电有限责任公司 Multi-source heat supply network heater optimized scheduling method, system, equipment and medium

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1583545A (en) 1976-08-04 1981-01-28 Martin Sanchez J Control systems
US4255133A (en) 1978-04-10 1981-03-10 Hitachi, Ltd. Method for controlling furnace temperature of multi-zone heating furnace
US4349869A (en) 1979-10-01 1982-09-14 Shell Oil Company Dynamic matrix control method
DE3175142D1 (en) 1980-04-07 1986-09-25 Juan Martin Sanchez Adaptive-predictive control method and adaptive-predictive control system
US4714988A (en) 1982-03-26 1987-12-22 Kabushiki Kaisha Toshiba Feedforward feedback control having predictive disturbance compensation
US4639853A (en) 1983-06-03 1987-01-27 Omron Tateisi Electronics Co. Time-discrete adaptive switching on-off controller
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
JPS61145606A (en) 1984-12-19 1986-07-03 Ohkura Electric Co Ltd Interference correspondence type pattern switching temperature controller
US4720807A (en) 1985-05-20 1988-01-19 Vacuum General, Inc. Adaptive pressure control system
US4694390A (en) 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4634946A (en) 1985-10-02 1987-01-06 Westinghouse Electric Corp. Apparatus and method for predictive control of a dynamic system
KR910002596B1 (en) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 Method and apparatus for controlling the temperature of a radiantly heated object
JPS62192281U (en) 1986-05-27 1987-12-07
US4736316A (en) 1986-08-06 1988-04-05 Chevron Research Company Minimum time, optimizing and stabilizing multivariable control method and system using a constraint associated control code
US4769766A (en) 1986-09-11 1988-09-06 Tung Hsien Hsin Robust model reference controller
US4975561A (en) 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
JPH0196701A (en) 1987-10-09 1989-04-14 Nobuo Yamamoto Control system using internal model cooperative type feedforward method
US4959767A (en) 1988-11-23 1990-09-25 Elsag International B.V. Parameter estimation technique for closed loop system
US5032977A (en) 1989-06-13 1991-07-16 Elsag International B.V. System for modeling and control for delignification of pulping
JPH0786783B2 (en) 1989-11-04 1995-09-20 勝久 古田 Furnace temperature controller by adjusting input
ATE143509T1 (en) 1990-06-21 1996-10-15 Honeywell Inc VARIABLE HORIZON BASED ADAPTIVE CONTROL WITH MEANS OF MINIMIZING OPERATING COSTS
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
CA2054423C (en) * 1991-08-09 1999-03-16 Robert J. Schurko Adaptive control for reheat furnace
US5488561A (en) 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
AU1843597A (en) 1996-01-31 1997-08-22 Asm America, Inc. Model-based predictive control of thermal processing
US5793022A (en) 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation

Similar Documents

Publication Publication Date Title
WO1997028669B1 (en) Model-based predictive control of thermal processing
EP0879547B1 (en) Model-based predictive control of thermal processing
KR100359734B1 (en) Model based temperature controller for semiconductor thermal processors
WO1997028669A9 (en) Model-based predictive control of thermal processing
US5369567A (en) Furnace temperature cascade control apparatus using adjustment input
Wang et al. A hybrid approach for supervisory control of furnace temperature
RU2691819C1 (en) Steel sheet temperature control device and temperature control method
JP2000058466A (en) Temperature control simulation method and device, and acquisition method of transmission function
CN110607435A (en) Annealing furnace plate temperature control system and method
JP3291201B2 (en) Apparatus and method for controlling plating amount
KR100356159B1 (en) Apparatus for controlling combustion of furnace
Zanoli et al. Model Predictive Control with horizons online adaptation: A steel industry case study
Czeczot Model-based adaptive control of fed-batch fermentation process with the substrate consumption rate application
JPH07152404A (en) Controller
JPH0560604B2 (en)
CA2423281A1 (en) Iterative learning update for batch mode processing
JPS62197140A (en) Method for controlling internal temperature of reactor
JPH0560605B2 (en)
JPH0791590B2 (en) Velocity changing method in plate temperature control of continuous annealing furnace
US20220406631A1 (en) Temperature correction information calculating device, semiconductor manufacturing apparatus, recording medium, and temperature correction information calculating method
JP5071057B2 (en) PID control support device
Lewis et al. The application of predictive control to steel annealing
JP4381628B2 (en) Concentration control device and concentration control method for concentration equipment
JPS60197825A (en) Method for controlling cooling
JP2000129319A (en) Method for controlling furnace heat in blast furnace and device therefor