US9312205B2 - Methods of forming a TSV wafer with improved fracture strength - Google Patents

Methods of forming a TSV wafer with improved fracture strength Download PDF

Info

Publication number
US9312205B2
US9312205B2 US14/195,940 US201414195940A US9312205B2 US 9312205 B2 US9312205 B2 US 9312205B2 US 201414195940 A US201414195940 A US 201414195940A US 9312205 B2 US9312205 B2 US 9312205B2
Authority
US
United States
Prior art keywords
substrate
alternate material
back side
opening
vias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US14/195,940
Other versions
US20150255404A1 (en
Inventor
James W. Adkisson
Yoba Amoah
Jeffrey P. Gambino
Christine A. Leggett
Max L. LIFSON
Charles F. Musante
Sruthi Samala
David C. Thomas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/195,940 priority Critical patent/US9312205B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GAMBINO, JEFFREY P., THOMAS, DAVID C., ADKISSON, JAMES W., AMOAH, YOBA, LEGGETT, CHRISTINE A., LIFSON, MAX L., MUSANTE, CHARLES F., SAMALA, SRUTHI
Priority to US14/825,778 priority patent/US9607929B2/en
Publication of US20150255404A1 publication Critical patent/US20150255404A1/en
Application granted granted Critical
Publication of US9312205B2 publication Critical patent/US9312205B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention generally relates to thin semiconductor wafers, and more particularly to the fracture strength of a thin semiconductor wafer having one or more through-substrate vias.
  • New integrated circuit technologies include three-dimensional integrated circuits also known as a three-dimensional semiconductor package.
  • One type of 3D semiconductor package can include two or more semiconductor components, for example a chip or a die, stacked vertically and electrically joined with some combination of through-substrate vias and solder bumps.
  • the through-substrate vias extend through an entire thickness of a substrate or wafer to facilitate the formation of an electrical connection between multiple, stacked, wafers. These through-substrate vias have been known to reduce the mechanical strength of a wafer during fabrication.
  • a method may include forming a through-substrate via through a thickness of a substrate, the thickness of the substrate is measured from a front side of the substrate to a back side of the substrate, removing a first portion of the substrate to form an opening in the back side of the substrate such that a second portion of the substrate remains in direct contact surrounding a vertical sidewall of the through-substrate via, and filling the opening with an alternate material having a lower modulus of elasticity than the substrate.
  • a method may include forming a through-substrate via through a thickness of a single crystal silicon substrate, the through-substrate via extends from a front side of the substrate to a back side of the substrate, and replacing a first portion of the single crystal silicon substrate with an alternate material to improve the durability of the single crystal silicon substrate and reduce the potential for cracking during fabrication, the alternate material has a lower modulus of elasticity than the single crystal silicon substrate, and a second portion of the single crystal silicon substrate remains in direct contact with and surrounds a vertical sidewall of the through-substrate via.
  • a structure may include a through-substrate via extending from a front side of a substrate to a back side of the substrate, and a backfill region adjacent to the through-substrate via and extending from the back side of the substrate to a depth within the substrate such that a portion of the substrate is between the backfill region and the through-substrate via, the portion of the substrate is in direct contact with and surrounds a vertical sidewall of the through-substrate via, the backfill region comprises an alternate material having a lower modulus of elasticity than the substrate.
  • FIG. 1 illustrates a semiconductor structure having a through-substrate via extending partially into a substrate according to an exemplary embodiment.
  • FIG. 2 illustrates a back side grinding technique used to expose a bottom of the through-substrate vias according to an exemplary embodiment.
  • FIG. 3 illustrates patterning a mask on the back side of the wafer immediately above the through-substrate via to protect a first portion of the substrate, and subsequently removing a second portion of the substrate to create an opening according to an exemplary embodiment.
  • FIG. 4 illustrates depositing an alternate material in the opening according to an exemplary embodiment.
  • FIG. 5 illustrates a final structure according to an exemplary embodiment.
  • FIG. 6 is a cross section view of FIG. 5 along section line A-A according to an exemplary embodiment.
  • FIG. 7 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
  • FIG. 8 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
  • FIG. 9 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
  • FIG. 10 illustrates the final structure according to another exemplary embodiment.
  • FIG. 11 is a cross section view of FIG. 10 along section line B-B according to an exemplary embodiment.
  • FIG. 12 illustrates the final structure according to another exemplary embodiment.
  • FIG. 13 is a cross section view of FIG. 12 along section line C-C according to an exemplary embodiment.
  • references in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures.
  • the terms “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element.
  • the term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • the present invention generally relates to thin semiconductor wafers, and more particularly to the fracture strength of a thin semiconductor wafer having one or more through-substrate vias.
  • the ability to improve the fracture strength of thin semiconductor substrates may improve yield, reliability, and performance.
  • One way to improve the fracture strength of thin semiconductor substrates may include removing some of a single crystal silicon substrate and replacing it with an alternate material.
  • One embodiment by which to fabricate thin semiconductor substrates with through-substrate vias having the improved fracture strength is described in detail below by referring to the accompanying drawings FIGS. 1-13 .
  • FIGS. 1-5 illustrate and describe stages in a fabrication process of a structure 100 in accordance with an embodiment of the invention.
  • Each of the figures is a cross section of a portion of a structure, a semiconductor substrate or semiconductor wafer.
  • the structure 100 may include a substrate 102 having a front side 104 and a back side 106 . It should be noted that the substrate 102 may alternatively be referred to as a wafer. The front side 104 of the substrate 102 may also be referred to as a top side or a device side.
  • the substrate 102 may include any semiconductor materials well known in the art, such as, for example, undoped Si, n-doped Si, p-doped Si, single crystal Si, polycrystalline Si, amorphous Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors.
  • Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide.
  • the substrate 102 may include a layered configuration such as, for example, silicon-on-insulator (SOI), SiC-on-insulator (SiCOI) or silicon germanium-on-insulator (SGOI).
  • the substrate 102 may be doped or undoped. Also, the substrate 102 may be strained, unstrained or a combination thereof.
  • the substrate 102 may include a silicon containing semiconductor material such as a single crystal silicon. Generally, the substrate 102 may be about, but is not limited to, several hundred microns thick. In the present example, the substrate 102 may preferably be thin or very thin and have a thickness ranging from about 200 ⁇ m to about 1,000 ⁇ m.
  • the substrate 102 may have a total thickness (h 1 ) ranging from about 600 ⁇ m to about 800 ⁇ m, and a desired final thickness (h 2 ) ranging from about 10 ⁇ m to about 30 ⁇ m.
  • the total thickness (h 1 ) may be measured before performing a backside grinding technique and the desired final thickness (h 2 ) may be measured after performing the backside grinding technique.
  • the desired final thickness (h 2 ) may be indicated in the figures by a reference plane 116 .
  • the structure 100 may also include, a front-end-of-line region 108 (FEOL) followed by a back-end-of-line region 110 (BEOL) fabricated on the front side 104 of the substrate 102 .
  • the FEOL region 108 and the BEOL region 110 may include multiple layers and materials.
  • the multiple layers may consist of semiconducting materials, dielectric materials, and conductive materials.
  • the semiconducting materials may include any of those disclosed above with reference to the substrate 102 .
  • the dielectric materials may include any of several dielectric materials, for example, oxides, nitrides and oxynitrides of silicon.
  • the dielectric material may also include oxides, nitrides and oxynitrides of elements other than silicon.
  • the dielectric materials may include a crystalline or a non-crystalline dielectric material.
  • the conductive materials may include any of several widely used materials, such as, for example, copper, tungsten, and aluminum.
  • the FEOL region 108 may include active semiconductor devices, such as, for example, diodes, capacitors, or transistors.
  • the BEOL region 110 may include a plurality of metallization features embedded in a plurality of dielectric layers.
  • the metallization features in the BEOL region 110 may include electrical connections to and between the semiconductor devices of the FEOL region 108 , such as, for example, a metal line or via.
  • the structure 100 may further include one or more through-substrate vias 112 (hereinafter referred to as a single “TSV”) fabricated within the substrate 102 .
  • TSV 112 may alternatively be referred to as a through-wafer via or TWV.
  • the TSV 112 may generally be fabricated according to known techniques, for example, etching a deep trench into the substrate 102 and subsequently filling the deep trench with an optional liner and a conductive material. More specifically, the deep trench may be formed in the substrate 102 using known patterning techniques, such as for example, a lithography technique followed by an etching technique. The deep trench may intentionally extend beyond the reference plane 116 .
  • the term “deep trench” may denote a trench formed in the substrate 102 having a sufficient depth to form a TSV.
  • the TSV 112 may generally be fabricated during fabrication of the FEOL region 108 . In another embodiment, the TSV 112 may alternatively be fabricated before FEOL processing, after FEOL processing, or even after BEOL processing.
  • the TSV 112 may be made from any conductive material, such as, for example, copper, aluminum, or tungsten. In an embodiment, the TSV 112 may be made from electroplated copper lined with a barrier material, such as, a tantalum/tantalum-nitride liner.
  • the structure 100 may undergo a subsequent backside grinding technique in which a portion of the back side 106 of the substrate 102 may be recessed or removed up to the reference plane 116 resulting in the substrate 102 having the desired final thickness (h 2 ).
  • the TSV 112 may extend from the front side 104 of the substrate 102 to a depth below the reference plane 116 , or to a depth greater than the desired final thickness (h 2 ).
  • a TSV in a substrate with a desired final thickness of 30 ⁇ m may have a depth (d 1 ) ranging from about 40 ⁇ m to about 50 ⁇ m.
  • the TSV 112 may have a high aspect ratio, where a height or the depth (d 1 ) of the TSV 112 may be relatively large with respect to a width of the TSV 112 . Therefore, the TSV 112 may be tall and thin, and consume less substrate surface area.
  • the TSV 112 may have a height or depth (d 1 ) ranging from about 10 to about 50 times larger than its width.
  • the TSV 112 may have a height or depth (d 1 ) ranging from about 30 ⁇ m to about 80 ⁇ m and a width ranging from about 3 ⁇ m to about 50 ⁇ m.
  • the TSV 112 may have one of many cross sectional shapes, including but not limited to, for example, a ring or an annulus, a rectangle, a rounded rectangle, a square, a rounded square, or a circle. It should be noted that the TSV 112 may be fabricated alone or as a single TSV in an array of TSVs. The figures depict an array 114 of TSVs for illustrative purposes only.
  • the TSV 112 may be used for the fabrication of three-dimensional (3D) integrated circuits in which at least two chips or die are stacked vertically. Vertically stacked chips can reduce interconnect wiring length and increase semiconductor device density.
  • the TSV 112 may provide interconnections and electrical connectivity between the electronic components of the stacked chips. Therefore, as above, the TSV 112 may extend through an entire thickness of a semiconductor substrate (for example the substrate 102 ). More specifically, the TSV 112 may form an electrical connection from either a semiconductor device in the FEOL region 108 or a metallization feature in the BEOL region 110 to the back side 106 of the substrate 102 . Subsequent processing of the back side 106 of the substrate 102 will be discussed in turn below.
  • the thickness of the substrate 102 may be reduced or thinned using a back side grinding technique well known in the art.
  • the chosen back side grinding technique may preferably reduce the thickness of the substrate 102 from the total thickness (h 1 ) ( FIG. 1 ) to the desired final thickness (h 2 ).
  • the chosen back side grinding technique may be used specifically to expose the TSV 112 on the back side 106 of the substrate 102 , among other things. Therefore, the TSV 112 may, now after back side grinding, extend from the front side 104 of the substrate 102 to the back side 106 of the substrate 102 .
  • the back side 106 of the substrate 102 may be recessed using a chemical mechanical polishing technique selective to the conductive material of the TSV 112 .
  • the chosen back side grinding technique may preferably recess or polish both the substrate 102 and the TSV 112 .
  • the chosen back side grinding technique may be selective to the conductive material of the TSV 112 . It should be noted that most back side grinding techniques may include multiple steps each varying in the amount of material they are designed to remove.
  • the structure 100 may be attached or fixed to a substrate support system or handler, as is well known in the art, to facilitate the back side grinding technique.
  • a mask layer 118 may be applied to the back side 106 of the substrate 102 , and used to protect the TSV 112 and a first portion 120 of the substrate. More specifically, the mask layer 118 may cover and protect the TSV 112 and the first portion 120 of the substrate 102 , while a second portion of the substrate may be recessed or removed to create an opening 122 . In the present embodiment, the second portion of the substrate 102 and consequently the opening 122 may be located between two adjacent TSVs 112 . Regardless of its location, the opening 122 may include either a relatively small trench, sometimes having a high aspect ratio, or a relatively large trench similar to that used in the formation of an interconnect structure. In all cases, the opening 122 may be situated such that some amount of substrate 102 , for example the first portion 120 , remains surrounding the entire TSV 112 .
  • the second portion of the substrate 102 may be removed using any suitable non-selective anisotropic etching technique such as dry etch, wet etch, or combination of both.
  • a wet chemical etching technique using a potassium oxide (KOH) based etchant may be used to remove the second portion of the substrate 102 .
  • Alternative etch chemistries may include ethylenediamine pyrocatechol (EDP) or hydrazine.
  • the opening 122 created by the removal of the second portion of the substrate 102 may have a depth (d 2 ) approximately equal to half of the desired final thickness (h 2 ).
  • the mask layer 118 can be a soft mask such as photoresist or a hardmask such as an oxide.
  • the mask layer 118 may be patterned such that some amount of the substrate 102 remains surrounding the TSV 112 , for example the first portion 120 of the substrate 102 .
  • the mask layer 118 may be patterned such that the mask layer 118 may have a cross-sectional area larger than a cross-sectional area of the TSV 112 .
  • the mask layer 118 may have a cross-sectional area at least about 10% to about 200% larger than a cross-sectional area of the TSV 112 . It should be noted that the cross-sectional area of the TSV 112 may be measured perpendicular to its height or depth (d 1 ).
  • the mask layer 118 may preferably be patterned such that the TSV 112 remains completely surrounded by the first portion 120 of the substrate 102 .
  • the first portion 120 of the substrate 102 may not only surround a perimeter of the TSV 112 , but may also remain in direct contact with an entire vertical sidewall of the TSV 112 . Stated differently, at this stage of fabrication, the entire TSV 112 , except for its ends, remains in direct contact with the substrate 102 .
  • the first portion 120 of the substrate 102 may have a lateral thickness (t) extending away from the vertical sidewall of the TSV 112 .
  • the lateral thickness (t) of the first portion 120 of the substrate 102 may be greater than or equal to a width or diameter of the TSV 112 .
  • the first portion of the substrate extends laterally from the vertical sidewall of the TSV 112 by a distance greater than or equal to a diameter or a width of the through-substrate via.
  • the first portion 120 of the substrate 102 may have a lateral thickness (t) ranging from about 50 ⁇ m to about 500 ⁇ m, and ranges there between.
  • the first portion 120 of the substrate 102 remaining around the TSV 112 may be designed to provide sufficient structural integrity and mechanical strength, and prevent potential damage to the TSV 112 during subsequent fabrication.
  • the mask layer 118 may protect a group or array of TSVs. In such cases, the mask layer may protect a first portion of the substrate surrounding the group or array of TSVs. See also FIGS. 10 and 11 described in detail below.
  • an alternate material 124 may be deposited in the opening 122 to form a backfill region.
  • the alternate material 124 may include any suitable material other than the single crystal silicon of the substrate 102 .
  • Suitable materials to be used for the alternate material 124 may include materials that may be deposited within the opening 122 without damaging or compromising the functionality of the structure 100 existing at the time of deposition. Considerations in selecting an appropriate alternate material 124 may include processing characteristics (such as temperatures and techniques), thermal conductance, and elasticity.
  • the alternate material 124 may preferably be deposited in the opening 122 using a technique in which the process temperature is equal to or less than approximately 400° C. to ensure that no damage, electrical or physical, occurs to the functional devices and circuits of the structure 100 .
  • the process temperature is equal to or less than approximately 400° C. to ensure that no damage, electrical or physical, occurs to the functional devices and circuits of the structure 100 .
  • the maximum process temperature may not exceed the maximum allowed process temperature of the chosen adhesive.
  • Adhesive formulations and therefore maximum allowed process temperatures can vary.
  • a typical maximum process temperature for polymer adhesives may range from approximately 250° C. to approximately 300° C. Therefore, the maximum process temperature for depositing the alternate material 124 may depend on surrounding elements of the structure 100 present at the time of deposition. Additionally, ease of depositing the chosen material in small, high aspect ratio trenches may be considered.
  • the alternate material 124 may preferably be at least as thermally conductive as the substrate 102 to enable adequate cooling of the functional devices and circuits during operation.
  • the alternate material 124 may preferably have different stress-strain characteristics than the substrate 102 .
  • the alternate material 124 may be more ductile or more malleable than the substrate 102 , and as such the alternate material 124 may be more resistant to cracking or fracturing than the substrate 102 under similar loading conditions.
  • the alternate material 124 may, in some embodiments, have a higher fracture strength or a higher ultimate tensile strength than the substrate 102 . Stated differently, the alternate material 124 may be capable of undergoing higher stresses without cracking or fracturing.
  • the alternate material may have a higher yield point or yield strength than the substrate 102 .
  • the alternate material 124 may be capable to withstand a higher maximum stress than the substrate 102 while being stretched or pulled before failing or breaking.
  • the alternate material 124 may preferably have a lower modulus of elasticity, or otherwise referred to as Young's modulus, than the substrate 102 . In other words, the alternate material 124 may be more elastic than, and not as stiff as, the substrate 102 .
  • the alternate material 124 may include, for example, an amorphous, polycrystalline material, or some combination thereof.
  • materials to be used for the alternate material 124 may include, but are not limited to, amorphous silicon, amorphous germanium, aerogel, various polymers, metals (for example aluminum), molding compound, or some combination thereof. Additional possible materials are contemplated.
  • the alternate material 124 can be deposited using any suitable deposition technique known in the art.
  • the alternate material 124 may be deposited with a conformal deposition technique such as chemical vapor deposition (CVD) or plasma enhanced CVD (PECVD).
  • the alternate material 124 may include amorphous silicon deposited using a chemical vapor deposition technique.
  • Another viable and cost effective material would be a conductive polymer, for example, molding compound.
  • the opening 122 ( FIG. 3 ) may preferably be etched and subsequently filled with the alternate material 124 after all front side processing has occurred.
  • a polishing technique may be used to remove any excess or overburden material remaining outside the opening 122 .
  • the polishing technique may prepare the back side 106 of the substrate 102 for subsequent processing. More specifically, the polishing technique may remove the overburden material to expose the ends of the TSV 112 , and allow for an electrical connection to be subsequently formed as described in detail below with reference to FIG. 5 .
  • a chemical mechanical polishing (CMP) technique may be used to polish the back side 106 of the substrate 102 and remove any excess or overburden material such that a bottom surface (as oriented in the figures) of the alternate material 124 is substantially flush with the back side 106 of the substrate 102 .
  • CMP chemical mechanical polishing
  • the TSV 112 may preferably be exposed in preparation for subsequently forming an electrical connection.
  • Other techniques for removing the overburden material may be used, such as a blanket (unpatterned) etch.
  • Filling the opening 122 with the alternate material 124 may be designed to improve the structural properties of the structure 100 and more specifically improve the strength of the substrate 102 .
  • substrate structures with TSVs are prone to cracking and fracturing during the fabrication process. Cracks and fractures in the substrate may negatively affect functionality and performance of the final structure.
  • time consuming quality control procedures are used to detect such cracks and fractures.
  • production yield may be detrimentally affected by both defective products and production delay cause by the time consuming detection measures.
  • Replacing the second portion of the substrate 102 with the alternate material 124 may optimally reduce the potential for stress fractures and increase the overall durability of the structure 100 .
  • Increasing durability may prove to increase yield by lowering the potential for cracking or fracturing common during fabrication of thin substrates having through-substrate vias.
  • substituting a portion of a single crystal substrate, for example the substrate 102 , with an alternate material, for example the alternate material 124 can eliminate or reduce the potential for cracking and fracturing of the substrate by introducing a material or materials that are less likely to crack under typical flexing of the finished substrate or wafer.
  • Cracks may propagate more easily along crystal planes of single crystal materials. Integrating alternate non-single crystal materials, such as, for example amorphous materials or polycrystalline materials, may significantly reduce the probability of cracking or fracturing in the substrate. Moreover, integrating non-single crystal materials with single crystal materials may effectively limit the length of cracks that do occur and may limit further propagation of those cracks. Limiting the length of potential cracks and limiting their propagation across a substrate may improve yield by limiting the number of individual die affected by the cracks. Integrating the alternate material 124 into the back side 106 of the substrate 102 can also effectively equalize the net stress in the structure 100 , or counteract stresses on the structure 100 cause by the BEOL region.
  • a hardmask 126 may be deposited and patterned on the back side 106 of the substrate 102 , followed by the formation of a back side contact 128 .
  • the hardmask 126 may be deposited on the back side 106 of the substrate 102 .
  • the hardmask 126 may include any suitable hardmask known in the art deposited using known techniques. Suitable materials to be used for the hardmask 126 may include materials that can be patterned to only expose regions of the structure 100 aligned with the TSV 112 without damaging or compromising the functionality of the structure 100 existing at the time of deposition and patterning.
  • the hardmask 126 may include, but is not limited to, silicon nitride, silicon oxide, silicon oxynitride, Si—C, or other available dielectrics.
  • the hardmask 126 may be patterned to form a contact opening (not shown).
  • the hardmask 126 may be patterned using lithography techniques well known in the art.
  • the contact opening may preferably be aligned with and expose the TSV 112 on the back side 106 of the substrate 102 .
  • the hardmask 126 may remain, and the contact opening may then be filled with any suitable conductive material known in the art suitable to form the back side contact 128 .
  • the back side contact 128 may be fabricated using techniques and materials well known in the art.
  • the back side contact 128 may include copper deposited in the contact opening using an electro-deposition technique.
  • the back side contact 128 may be fabricated in preparation for subsequent back side processing, including, but no limited to, back side wiring, or solder bumps. In all cases, the back side contact 128 may be in direct contact with the TSV 112 .
  • a solder bump (not shown) may be formed on the back side contact 128 in preparation for a flip chip connection to an adjoining structure.
  • the solder bump may be formed adjacent to and indirect contact with the back side contact 128 .
  • the solder bump may be fabricated on the adjoining structure.
  • one or more back side wiring layers (not shown) may be fabricated corresponding to and forming an electrical connection with the back side contact 128 .
  • a back side dielectric may be used in place of the hardmask 126 .
  • the back side dielectric layer may be deposited on the back side 106 of the substrate 102 and then patterned to form the contact opening, similar to the hardmask 126 described above.
  • the back side dielectric may include any dielectric material suitable for electrically isolating multiple wiring layers from one another.
  • the back side dielectric may be substantially similar to the dielectric material used in the BEOL region 110 described above. In some cases, dielectric layers used in both the BEOL region 110 and the back side wiring may be referred to as an inter-level dielectric.
  • any back side processing known in the art may be carried out subsequent to replacing the second portion of the substrate 102 with the alternate material 124 .
  • the TSV 112 may have a rounded rectangular cross sectional shape, or may alternatively be referred to as a via bar.
  • the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112 .
  • the first portion 120 of the substrate 102 surrounding the TSV 112 may alternatively be referred to as a silicon collar, in cases where the substrate 102 is in fact silicon.
  • FIG. 7 a cross section view of FIG. 5 , along section line A-A, is shown according to an alternative embodiment.
  • the TSV 112 may have a circular cross sectional shape.
  • the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112 .
  • the TSV 112 may have an annular cross sectional shape, in which the TSV 112 may have an interior vertical wall and an exterior vertical wall, each completely surrounded by the substrate 102 .
  • FIG. 9 a cross section view of FIG. 5 , along section line A-A, is shown according to an alternative embodiment.
  • the TSV 112 may have a rounded square cross sectional shape.
  • the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112 .
  • FIG. 10 is a cross section of a portion of the structure 200
  • FIG. 11 is a cross section view of FIG. 10 , along section line B-B.
  • the one or more TSVs 112 may be fabricated in the substrate 102 with a tight pitch, or spaced very close to one another.
  • a tight pitch or very close spacing may indicate that a space (s) between two adjacent TSVs 112 may be less than twice the desired lateral thickness (t) of the first portion 120 of the substrate 102 .
  • the design may not allow for a portion of the substrate 102 (for example, the second portion of the substrate 102 ) to be removed from between two adjacent TSVs 112 .
  • an opening (not shown) may be formed around the group or the array 114 of TSVs 112 .
  • the opening would then be filled with the alternate material 124 .
  • the array 114 of TSVs 112 may dictate an interior boundary 130 of the alternate material 124 ; however an exterior boundary 132 of the alternate material 124 may not be limited to any size, shape, or location.
  • the first portion 120 of the substrate 102 may have a lateral thickness (t), as described above, extending away from a vertical sidewall of an outermost TSV 112 a .
  • the first portion 120 of the substrate 102 may also have a lateral thickness (t), as described above, extending away from one end of the TSV 112 .
  • FIG. 12 is a cross section of a portion of the structure 300
  • FIG. 13 is a cross section view of FIG. 12 , along section line C-C.
  • the one or more TSVs 112 may be fabricated in the substrate 102 as a group or array according to known techniques with no particular spacing.
  • an opening (not shown) may be formed around the group or the array 114 of TSVs 112 , and subsequently filled with the alternate material 124 .
  • the first portion 120 of the substrate 102 may have a lateral thickness (t), as described above, extending away from a vertical sidewall of an outermost TSV 112 .
  • the first portion 120 of the substrate 102 may also have a lateral thickness (t), as described above, extending away from on end of the TSV 112 .
  • the array 114 of TSVs 112 may dictate an interior boundary 130 of the alternate material 124 ; however an exterior boundary 132 of the alternate material 24 may be offset from the interior boundary 130 by a width (w).
  • the width (w) of the alternate material 124 may range from about (t)+50 ⁇ m to about (t)+500 ⁇ m.
  • the alternate material 124 of the present example may also function as a crackstop and isolate cracks originating at the TSV 112 from propagating across an entire substrate.
  • a crack originating from on of the TVSs 112 may be confined within the first portion 120 of the substrate 102 surrounded by the alternate material 124 .
  • a metal contact or any metal structure may additionally be fabricated below or aligned to the alternate material 124 . Unlike above the metal contact below the alternate material 124 may serve as part of a crackstop features and may not conduct electricity to or from the TSV 112 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method including forming a through-substrate via through a thickness of a substrate, the thickness of the substrate is measured from a front side of the substrate to a back side of the substrate, removing a first portion of the substrate to form an opening in the back side of the substrate such that a second portion of the substrate remains in direct contact surrounding a vertical sidewall of the through-substrate via, and filling the opening with an alternate material having a lower modulus of elasticity than the substrate.

Description

BACKGROUND
The present invention generally relates to thin semiconductor wafers, and more particularly to the fracture strength of a thin semiconductor wafer having one or more through-substrate vias.
New integrated circuit technologies include three-dimensional integrated circuits also known as a three-dimensional semiconductor package. One type of 3D semiconductor package can include two or more semiconductor components, for example a chip or a die, stacked vertically and electrically joined with some combination of through-substrate vias and solder bumps. Generally, the through-substrate vias extend through an entire thickness of a substrate or wafer to facilitate the formation of an electrical connection between multiple, stacked, wafers. These through-substrate vias have been known to reduce the mechanical strength of a wafer during fabrication.
SUMMARY
According to an exemplary embodiment, a method is provided. The method may include forming a through-substrate via through a thickness of a substrate, the thickness of the substrate is measured from a front side of the substrate to a back side of the substrate, removing a first portion of the substrate to form an opening in the back side of the substrate such that a second portion of the substrate remains in direct contact surrounding a vertical sidewall of the through-substrate via, and filling the opening with an alternate material having a lower modulus of elasticity than the substrate.
According to another exemplary embodiment, a method is provided. The method may include forming a through-substrate via through a thickness of a single crystal silicon substrate, the through-substrate via extends from a front side of the substrate to a back side of the substrate, and replacing a first portion of the single crystal silicon substrate with an alternate material to improve the durability of the single crystal silicon substrate and reduce the potential for cracking during fabrication, the alternate material has a lower modulus of elasticity than the single crystal silicon substrate, and a second portion of the single crystal silicon substrate remains in direct contact with and surrounds a vertical sidewall of the through-substrate via.
According to another exemplary embodiment, a structure is provided. The structure may include a through-substrate via extending from a front side of a substrate to a back side of the substrate, and a backfill region adjacent to the through-substrate via and extending from the back side of the substrate to a depth within the substrate such that a portion of the substrate is between the backfill region and the through-substrate via, the portion of the substrate is in direct contact with and surrounds a vertical sidewall of the through-substrate via, the backfill region comprises an alternate material having a lower modulus of elasticity than the substrate.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
The following detailed description, given by way of example and not intended to limit the invention solely thereto, will best be appreciated in conjunction with the accompanying drawings.
FIG. 1 illustrates a semiconductor structure having a through-substrate via extending partially into a substrate according to an exemplary embodiment.
FIG. 2 illustrates a back side grinding technique used to expose a bottom of the through-substrate vias according to an exemplary embodiment.
FIG. 3 illustrates patterning a mask on the back side of the wafer immediately above the through-substrate via to protect a first portion of the substrate, and subsequently removing a second portion of the substrate to create an opening according to an exemplary embodiment.
FIG. 4 illustrates depositing an alternate material in the opening according to an exemplary embodiment.
FIG. 5 illustrates a final structure according to an exemplary embodiment.
FIG. 6 is a cross section view of FIG. 5 along section line A-A according to an exemplary embodiment.
FIG. 7 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
FIG. 8 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
FIG. 9 is a cross section view of FIG. 5 along section line A-A according to another exemplary embodiment.
FIG. 10 illustrates the final structure according to another exemplary embodiment.
FIG. 11 is a cross section view of FIG. 10 along section line B-B according to an exemplary embodiment.
FIG. 12 illustrates the final structure according to another exemplary embodiment.
FIG. 13 is a cross section view of FIG. 12 along section line C-C according to an exemplary embodiment.
The drawings are not necessarily to scale. The drawings are merely schematic representations, not intended to portray specific parameters of the invention. The drawings are intended to depict only typical embodiments of the invention. In the drawings, like numbering represents like elements.
DETAILED DESCRIPTION
Detailed embodiments of the claimed structures and methods are disclosed herein; however, it can be understood that the disclosed embodiments are merely illustrative of the claimed structures and methods that may be embodied in various forms. This invention may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these exemplary embodiments are provided so that this disclosure will be thorough and complete and will fully convey the scope of this invention to those skilled in the art. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
References in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
For purposes of the description hereinafter, the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures. The terms “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
In the interest of not obscuring the presentation of embodiments of the present invention, in the following detailed description, some processing steps or operations that are known in the art may have been combined together for presentation and for illustration purposes and in some instances may have not been described in detail. In other instances, some processing steps or operations that are known in the art may not be described at all. It should be understood that the following description is rather focused on the distinctive features or elements of various embodiments of the present invention.
The present invention generally relates to thin semiconductor wafers, and more particularly to the fracture strength of a thin semiconductor wafer having one or more through-substrate vias. The ability to improve the fracture strength of thin semiconductor substrates may improve yield, reliability, and performance. One way to improve the fracture strength of thin semiconductor substrates may include removing some of a single crystal silicon substrate and replacing it with an alternate material. One embodiment by which to fabricate thin semiconductor substrates with through-substrate vias having the improved fracture strength is described in detail below by referring to the accompanying drawings FIGS. 1-13.
FIGS. 1-5 illustrate and describe stages in a fabrication process of a structure 100 in accordance with an embodiment of the invention. Each of the figures is a cross section of a portion of a structure, a semiconductor substrate or semiconductor wafer.
Now referring to FIG. 1, an intermediate step in the fabrication of the structure 100 is shown according to an embodiment. The structure 100 may include a substrate 102 having a front side 104 and a back side 106. It should be noted that the substrate 102 may alternatively be referred to as a wafer. The front side 104 of the substrate 102 may also be referred to as a top side or a device side.
The substrate 102 may include any semiconductor materials well known in the art, such as, for example, undoped Si, n-doped Si, p-doped Si, single crystal Si, polycrystalline Si, amorphous Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors. Non-limiting examples of compound semiconductor materials include gallium arsenide, indium arsenide, and indium phosphide. In an embodiment, the substrate 102 may include a layered configuration such as, for example, silicon-on-insulator (SOI), SiC-on-insulator (SiCOI) or silicon germanium-on-insulator (SGOI). The substrate 102 may be doped or undoped. Also, the substrate 102 may be strained, unstrained or a combination thereof. For purposes of this description, the substrate 102 may include a silicon containing semiconductor material such as a single crystal silicon. Generally, the substrate 102 may be about, but is not limited to, several hundred microns thick. In the present example, the substrate 102 may preferably be thin or very thin and have a thickness ranging from about 200 μm to about 1,000 μm.
In an embodiment, the substrate 102 may have a total thickness (h1) ranging from about 600 μm to about 800 μm, and a desired final thickness (h2) ranging from about 10 μm to about 30 μm. The total thickness (h1) may be measured before performing a backside grinding technique and the desired final thickness (h2) may be measured after performing the backside grinding technique. The desired final thickness (h2) may be indicated in the figures by a reference plane 116.
The structure 100 may also include, a front-end-of-line region 108 (FEOL) followed by a back-end-of-line region 110 (BEOL) fabricated on the front side 104 of the substrate 102. The FEOL region 108 and the BEOL region 110 may include multiple layers and materials. The multiple layers may consist of semiconducting materials, dielectric materials, and conductive materials. The semiconducting materials may include any of those disclosed above with reference to the substrate 102. The dielectric materials may include any of several dielectric materials, for example, oxides, nitrides and oxynitrides of silicon. The dielectric material may also include oxides, nitrides and oxynitrides of elements other than silicon. In addition, the dielectric materials may include a crystalline or a non-crystalline dielectric material. The conductive materials may include any of several widely used materials, such as, for example, copper, tungsten, and aluminum.
More specifically, the FEOL region 108 may include active semiconductor devices, such as, for example, diodes, capacitors, or transistors. The BEOL region 110 may include a plurality of metallization features embedded in a plurality of dielectric layers. The metallization features in the BEOL region 110 may include electrical connections to and between the semiconductor devices of the FEOL region 108, such as, for example, a metal line or via.
The structure 100 may further include one or more through-substrate vias 112 (hereinafter referred to as a single “TSV”) fabricated within the substrate 102. It should be noted that the TSV 112 may alternatively be referred to as a through-wafer via or TWV. The TSV 112 may generally be fabricated according to known techniques, for example, etching a deep trench into the substrate 102 and subsequently filling the deep trench with an optional liner and a conductive material. More specifically, the deep trench may be formed in the substrate 102 using known patterning techniques, such as for example, a lithography technique followed by an etching technique. The deep trench may intentionally extend beyond the reference plane 116. The term “deep trench” may denote a trench formed in the substrate 102 having a sufficient depth to form a TSV.
In an embodiment, the TSV 112 may generally be fabricated during fabrication of the FEOL region 108. In another embodiment, the TSV 112 may alternatively be fabricated before FEOL processing, after FEOL processing, or even after BEOL processing. The TSV 112 may be made from any conductive material, such as, for example, copper, aluminum, or tungsten. In an embodiment, the TSV 112 may be made from electroplated copper lined with a barrier material, such as, a tantalum/tantalum-nitride liner.
As referenced above, the structure 100 may undergo a subsequent backside grinding technique in which a portion of the back side 106 of the substrate 102 may be recessed or removed up to the reference plane 116 resulting in the substrate 102 having the desired final thickness (h2). Before back side grinding, the TSV 112 may extend from the front side 104 of the substrate 102 to a depth below the reference plane 116, or to a depth greater than the desired final thickness (h2). For example, a TSV in a substrate with a desired final thickness of 30 μm, may have a depth (d1) ranging from about 40 μm to about 50 μm. As such, the TSV 112 may have a high aspect ratio, where a height or the depth (d1) of the TSV 112 may be relatively large with respect to a width of the TSV 112. Therefore, the TSV 112 may be tall and thin, and consume less substrate surface area. In an embodiment, the TSV 112 may have a height or depth (d1) ranging from about 10 to about 50 times larger than its width. In an embodiment, the TSV 112 may have a height or depth (d1) ranging from about 30 μm to about 80 μm and a width ranging from about 3 μm to about 50 μm. It will be understood to a person of ordinary skill in the art that the TSV 112 may have one of many cross sectional shapes, including but not limited to, for example, a ring or an annulus, a rectangle, a rounded rectangle, a square, a rounded square, or a circle. It should be noted that the TSV 112 may be fabricated alone or as a single TSV in an array of TSVs. The figures depict an array 114 of TSVs for illustrative purposes only.
The TSV 112 may be used for the fabrication of three-dimensional (3D) integrated circuits in which at least two chips or die are stacked vertically. Vertically stacked chips can reduce interconnect wiring length and increase semiconductor device density. The TSV 112 may provide interconnections and electrical connectivity between the electronic components of the stacked chips. Therefore, as above, the TSV 112 may extend through an entire thickness of a semiconductor substrate (for example the substrate 102). More specifically, the TSV 112 may form an electrical connection from either a semiconductor device in the FEOL region 108 or a metallization feature in the BEOL region 110 to the back side 106 of the substrate 102. Subsequent processing of the back side 106 of the substrate 102 will be discussed in turn below.
Referring now to FIG. 2, the thickness of the substrate 102 may be reduced or thinned using a back side grinding technique well known in the art. The chosen back side grinding technique may preferably reduce the thickness of the substrate 102 from the total thickness (h1) (FIG. 1) to the desired final thickness (h2). In addition to reducing the thickness of the substrate 102, the chosen back side grinding technique may be used specifically to expose the TSV 112 on the back side 106 of the substrate 102, among other things. Therefore, the TSV 112 may, now after back side grinding, extend from the front side 104 of the substrate 102 to the back side 106 of the substrate 102. In an embodiment, the back side 106 of the substrate 102 may be recessed using a chemical mechanical polishing technique selective to the conductive material of the TSV 112. In an embodiment, the chosen back side grinding technique may preferably recess or polish both the substrate 102 and the TSV 112. In another embodiment, the chosen back side grinding technique may be selective to the conductive material of the TSV 112. It should be noted that most back side grinding techniques may include multiple steps each varying in the amount of material they are designed to remove. It should be noted that the structure 100 may be attached or fixed to a substrate support system or handler, as is well known in the art, to facilitate the back side grinding technique.
Referring now to FIG. 3, a mask layer 118 may be applied to the back side 106 of the substrate 102, and used to protect the TSV 112 and a first portion 120 of the substrate. More specifically, the mask layer 118 may cover and protect the TSV 112 and the first portion 120 of the substrate 102, while a second portion of the substrate may be recessed or removed to create an opening 122. In the present embodiment, the second portion of the substrate 102 and consequently the opening 122 may be located between two adjacent TSVs 112. Regardless of its location, the opening 122 may include either a relatively small trench, sometimes having a high aspect ratio, or a relatively large trench similar to that used in the formation of an interconnect structure. In all cases, the opening 122 may be situated such that some amount of substrate 102, for example the first portion 120, remains surrounding the entire TSV 112.
The second portion of the substrate 102 may be removed using any suitable non-selective anisotropic etching technique such as dry etch, wet etch, or combination of both. For example, a wet chemical etching technique using a potassium oxide (KOH) based etchant may be used to remove the second portion of the substrate 102. Alternative etch chemistries may include ethylenediamine pyrocatechol (EDP) or hydrazine. The opening 122 created by the removal of the second portion of the substrate 102 may have a depth (d2) approximately equal to half of the desired final thickness (h2).
The mask layer 118 can be a soft mask such as photoresist or a hardmask such as an oxide. In the present example, the mask layer 118 may be patterned such that some amount of the substrate 102 remains surrounding the TSV 112, for example the first portion 120 of the substrate 102. The mask layer 118 may be patterned such that the mask layer 118 may have a cross-sectional area larger than a cross-sectional area of the TSV 112. In an embodiment, the mask layer 118 may have a cross-sectional area at least about 10% to about 200% larger than a cross-sectional area of the TSV 112. It should be noted that the cross-sectional area of the TSV 112 may be measured perpendicular to its height or depth (d1).
In all cases, the mask layer 118 may preferably be patterned such that the TSV 112 remains completely surrounded by the first portion 120 of the substrate 102. The first portion 120 of the substrate 102 may not only surround a perimeter of the TSV 112, but may also remain in direct contact with an entire vertical sidewall of the TSV 112. Stated differently, at this stage of fabrication, the entire TSV 112, except for its ends, remains in direct contact with the substrate 102. The first portion 120 of the substrate 102 may have a lateral thickness (t) extending away from the vertical sidewall of the TSV 112. In an embodiment, the lateral thickness (t) of the first portion 120 of the substrate 102 may be greater than or equal to a width or diameter of the TSV 112. Stated differently, in an embodiment, the first portion of the substrate extends laterally from the vertical sidewall of the TSV 112 by a distance greater than or equal to a diameter or a width of the through-substrate via. In another embodiment, the first portion 120 of the substrate 102 may have a lateral thickness (t) ranging from about 50 μm to about 500 μm, and ranges there between.
The first portion 120 of the substrate 102 remaining around the TSV 112 may be designed to provide sufficient structural integrity and mechanical strength, and prevent potential damage to the TSV 112 during subsequent fabrication.
In another embodiment, the mask layer 118 may protect a group or array of TSVs. In such cases, the mask layer may protect a first portion of the substrate surrounding the group or array of TSVs. See also FIGS. 10 and 11 described in detail below.
Referring now to FIG. 4, an alternate material 124 may be deposited in the opening 122 to form a backfill region. The alternate material 124 may include any suitable material other than the single crystal silicon of the substrate 102. Suitable materials to be used for the alternate material 124 may include materials that may be deposited within the opening 122 without damaging or compromising the functionality of the structure 100 existing at the time of deposition. Considerations in selecting an appropriate alternate material 124 may include processing characteristics (such as temperatures and techniques), thermal conductance, and elasticity.
In all cases, the alternate material 124 may preferably be deposited in the opening 122 using a technique in which the process temperature is equal to or less than approximately 400° C. to ensure that no damage, electrical or physical, occurs to the functional devices and circuits of the structure 100. For example, if a substrate support system or handler is temporarily bonded to the structure 100 using an adhesive, then consideration must be given to the operating temperatures of the adhesive. More specifically, the maximum process temperature may not exceed the maximum allowed process temperature of the chosen adhesive. Adhesive formulations and therefore maximum allowed process temperatures can vary. For example, a typical maximum process temperature for polymer adhesives may range from approximately 250° C. to approximately 300° C. Therefore, the maximum process temperature for depositing the alternate material 124 may depend on surrounding elements of the structure 100 present at the time of deposition. Additionally, ease of depositing the chosen material in small, high aspect ratio trenches may be considered.
The alternate material 124 may preferably be at least as thermally conductive as the substrate 102 to enable adequate cooling of the functional devices and circuits during operation. The alternate material 124 may preferably have different stress-strain characteristics than the substrate 102. Generally, the alternate material 124 may be more ductile or more malleable than the substrate 102, and as such the alternate material 124 may be more resistant to cracking or fracturing than the substrate 102 under similar loading conditions. The alternate material 124 may, in some embodiments, have a higher fracture strength or a higher ultimate tensile strength than the substrate 102. Stated differently, the alternate material 124 may be capable of undergoing higher stresses without cracking or fracturing. In an embodiment, the alternate material may have a higher yield point or yield strength than the substrate 102. Alternatively, the alternate material 124 may be capable to withstand a higher maximum stress than the substrate 102 while being stretched or pulled before failing or breaking. Lastly, the alternate material 124 may preferably have a lower modulus of elasticity, or otherwise referred to as Young's modulus, than the substrate 102. In other words, the alternate material 124 may be more elastic than, and not as stiff as, the substrate 102.
In an embodiment, the alternate material 124 may include, for example, an amorphous, polycrystalline material, or some combination thereof. Examples of materials to be used for the alternate material 124 may include, but are not limited to, amorphous silicon, amorphous germanium, aerogel, various polymers, metals (for example aluminum), molding compound, or some combination thereof. Additional possible materials are contemplated.
The alternate material 124 can be deposited using any suitable deposition technique known in the art. In an embodiment, the alternate material 124 may be deposited with a conformal deposition technique such as chemical vapor deposition (CVD) or plasma enhanced CVD (PECVD). In an embodiment, the alternate material 124 may include amorphous silicon deposited using a chemical vapor deposition technique. Another viable and cost effective material would be a conductive polymer, for example, molding compound. In the present embodiment, the opening 122 (FIG. 3) may preferably be etched and subsequently filled with the alternate material 124 after all front side processing has occurred.
After depositing the alternate material 124 on the back side 106 of the substrate 102 and within the opening 122 (FIG. 3), a polishing technique may be used to remove any excess or overburden material remaining outside the opening 122. The polishing technique may prepare the back side 106 of the substrate 102 for subsequent processing. More specifically, the polishing technique may remove the overburden material to expose the ends of the TSV 112, and allow for an electrical connection to be subsequently formed as described in detail below with reference to FIG. 5. For example, a chemical mechanical polishing (CMP) technique may be used to polish the back side 106 of the substrate 102 and remove any excess or overburden material such that a bottom surface (as oriented in the figures) of the alternate material 124 is substantially flush with the back side 106 of the substrate 102. After polishing, the TSV 112 may preferably be exposed in preparation for subsequently forming an electrical connection. Other techniques for removing the overburden material may be used, such as a blanket (unpatterned) etch.
Filling the opening 122 with the alternate material 124 may be designed to improve the structural properties of the structure 100 and more specifically improve the strength of the substrate 102. In general, substrate structures with TSVs are prone to cracking and fracturing during the fabrication process. Cracks and fractures in the substrate may negatively affect functionality and performance of the final structure. Currently time consuming quality control procedures are used to detect such cracks and fractures. Ultimately, production yield may be detrimentally affected by both defective products and production delay cause by the time consuming detection measures.
Replacing the second portion of the substrate 102 with the alternate material 124 may optimally reduce the potential for stress fractures and increase the overall durability of the structure 100. Increasing durability may prove to increase yield by lowering the potential for cracking or fracturing common during fabrication of thin substrates having through-substrate vias. For example, substituting a portion of a single crystal substrate, for example the substrate 102, with an alternate material, for example the alternate material 124, can eliminate or reduce the potential for cracking and fracturing of the substrate by introducing a material or materials that are less likely to crack under typical flexing of the finished substrate or wafer.
Cracks may propagate more easily along crystal planes of single crystal materials. Integrating alternate non-single crystal materials, such as, for example amorphous materials or polycrystalline materials, may significantly reduce the probability of cracking or fracturing in the substrate. Moreover, integrating non-single crystal materials with single crystal materials may effectively limit the length of cracks that do occur and may limit further propagation of those cracks. Limiting the length of potential cracks and limiting their propagation across a substrate may improve yield by limiting the number of individual die affected by the cracks. Integrating the alternate material 124 into the back side 106 of the substrate 102 can also effectively equalize the net stress in the structure 100, or counteract stresses on the structure 100 cause by the BEOL region.
Now referring to FIG. 5, a final step in the fabrication of the structure 100 is shown according to an embodiment. In this step, a hardmask 126 may be deposited and patterned on the back side 106 of the substrate 102, followed by the formation of a back side contact 128. First, the hardmask 126 may be deposited on the back side 106 of the substrate 102. The hardmask 126 may include any suitable hardmask known in the art deposited using known techniques. Suitable materials to be used for the hardmask 126 may include materials that can be patterned to only expose regions of the structure 100 aligned with the TSV 112 without damaging or compromising the functionality of the structure 100 existing at the time of deposition and patterning. For example the hardmask 126 may include, but is not limited to, silicon nitride, silicon oxide, silicon oxynitride, Si—C, or other available dielectrics.
After being deposited, the hardmask 126 may be patterned to form a contact opening (not shown). The hardmask 126 may be patterned using lithography techniques well known in the art. The contact opening may preferably be aligned with and expose the TSV 112 on the back side 106 of the substrate 102. In the present example, the hardmask 126 may remain, and the contact opening may then be filled with any suitable conductive material known in the art suitable to form the back side contact 128. The back side contact 128 may be fabricated using techniques and materials well known in the art. For example, the back side contact 128 may include copper deposited in the contact opening using an electro-deposition technique. The back side contact 128 may be fabricated in preparation for subsequent back side processing, including, but no limited to, back side wiring, or solder bumps. In all cases, the back side contact 128 may be in direct contact with the TSV 112.
In an embodiment, for example, a solder bump (not shown) may be formed on the back side contact 128 in preparation for a flip chip connection to an adjoining structure. The solder bump may be formed adjacent to and indirect contact with the back side contact 128. Alternatively, the solder bump may be fabricated on the adjoining structure. In another embodiment, for example, one or more back side wiring layers (not shown) may be fabricated corresponding to and forming an electrical connection with the back side contact 128.
In an embodiment, a back side dielectric may be used in place of the hardmask 126. In such embodiments, the back side dielectric layer may be deposited on the back side 106 of the substrate 102 and then patterned to form the contact opening, similar to the hardmask 126 described above. The back side dielectric may include any dielectric material suitable for electrically isolating multiple wiring layers from one another. The back side dielectric may be substantially similar to the dielectric material used in the BEOL region 110 described above. In some cases, dielectric layers used in both the BEOL region 110 and the back side wiring may be referred to as an inter-level dielectric.
It should be noted that the while a back side contact 128 is illustrated in the figures and described above as an embodiment, any back side processing known in the art may be carried out subsequent to replacing the second portion of the substrate 102 with the alternate material 124.
Referring now to FIG. 6, a cross section view of FIG. 5, along section line A-A, is shown according to an embodiment. In the present embodiment, as illustrated in the figure, the TSV 112 may have a rounded rectangular cross sectional shape, or may alternatively be referred to as a via bar. In the present embodiment, the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112. The first portion 120 of the substrate 102 surrounding the TSV 112 may alternatively be referred to as a silicon collar, in cases where the substrate 102 is in fact silicon.
Referring now to FIG. 7, a cross section view of FIG. 5, along section line A-A, is shown according to an alternative embodiment. In the present embodiment, as illustrated in the figure, the TSV 112 may have a circular cross sectional shape. As described above, the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112.
Referring now to FIG. 8, a cross section view of FIG. 5, along section line A-A, is shown according to an alternative embodiment. In the present embodiment, as illustrated in the figure, the TSV 112 may have an annular cross sectional shape, in which the TSV 112 may have an interior vertical wall and an exterior vertical wall, each completely surrounded by the substrate 102.
Referring now to FIG. 9, a cross section view of FIG. 5, along section line A-A, is shown according to an alternative embodiment. In the present embodiment, as illustrated in the figure, the TSV 112 may have a rounded square cross sectional shape. Again, as described above, the first portion 120 of the substrate 102 surrounds the entire perimeter of the TSV 112.
Now referring to FIGS. 10 and 11, a final structure 200 is shown according to an alternative embodiment. FIG. 10 is a cross section of a portion of the structure 200, and FIG. 11, is a cross section view of FIG. 10, along section line B-B. In the present embodiment, the one or more TSVs 112 may be fabricated in the substrate 102 with a tight pitch, or spaced very close to one another. For purposes of this description a tight pitch or very close spacing may indicate that a space (s) between two adjacent TSVs 112 may be less than twice the desired lateral thickness (t) of the first portion 120 of the substrate 102. If the space (s) is less than twice the desired lateral thickness (t) of the first portion 120 of the substrate 102 then the design may not allow for a portion of the substrate 102 (for example, the second portion of the substrate 102) to be removed from between two adjacent TSVs 112. In such cases, an opening (not shown) may be formed around the group or the array 114 of TSVs 112. As described above, the opening would then be filled with the alternate material 124. In the present example, the array 114 of TSVs 112 may dictate an interior boundary 130 of the alternate material 124; however an exterior boundary 132 of the alternate material 124 may not be limited to any size, shape, or location. More specifically, the first portion 120 of the substrate 102 may have a lateral thickness (t), as described above, extending away from a vertical sidewall of an outermost TSV 112 a. The first portion 120 of the substrate 102 may also have a lateral thickness (t), as described above, extending away from one end of the TSV 112.
Now referring to FIGS. 12 and 13, a final structure 300 is shown according to an alternative embodiment. FIG. 12 is a cross section of a portion of the structure 300, and FIG. 13, is a cross section view of FIG. 12, along section line C-C. In the present embodiment, the one or more TSVs 112 may be fabricated in the substrate 102 as a group or array according to known techniques with no particular spacing. Like above, an opening (not shown) may be formed around the group or the array 114 of TSVs 112, and subsequently filled with the alternate material 124. Like above, the first portion 120 of the substrate 102 may have a lateral thickness (t), as described above, extending away from a vertical sidewall of an outermost TSV 112. The first portion 120 of the substrate 102 may also have a lateral thickness (t), as described above, extending away from on end of the TSV 112. In the present example, the array 114 of TSVs 112 may dictate an interior boundary 130 of the alternate material 124; however an exterior boundary 132 of the alternate material 24 may be offset from the interior boundary 130 by a width (w). The width (w) of the alternate material 124 may range from about (t)+50 μm to about (t)+500 μm. In addition to improving the strength of the structure 100, the alternate material 124 of the present example may also function as a crackstop and isolate cracks originating at the TSV 112 from propagating across an entire substrate. In the present example, a crack originating from on of the TVSs 112 may be confined within the first portion 120 of the substrate 102 surrounded by the alternate material 124. In an embodiment, a metal contact or any metal structure may additionally be fabricated below or aligned to the alternate material 124. Unlike above the metal contact below the alternate material 124 may serve as part of a crackstop features and may not conduct electricity to or from the TSV 112.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiment, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (18)

What is claimed is:
1. A method comprising:
forming through-substrate vias through a thickness of a substrate and forming semiconductor devices on a front side of the substrate, the thickness of the substrate being measured from the front side of the substrate to a back side of the substrate;
removing a first portion of the substrate to form an opening that extends a predetermined depth into the back side of the substrate and that defines a second portion of the substrate, the second portion remaining in direct contact with and laterally surrounding a vertical sidewall of one of the through-substrate vias;
filling the opening with an alternate material having a lower modulus of elasticity than the substrate such that the alternate material extends laterally between adjacent second portions that laterally surround adjacent through-substrate vias, the alternate material being at least as thermally conductive as the substrate; and
polishing the back side of the substrate so that an exposed surface of the alternate material is essentially co-planar with the back side of the substrate.
2. The method of claim 1, the filling of the opening with the alternate material being carried out at a process temperature less than or equal to 400° C.
3. The method of claim 1, the alternate material comprising silicon or germanium and being polycrystalline or amorphous.
4. The method of claim 1, the second portion extending laterally from the vertical sidewall of the one of the through-substrate vias to the alternate material by a distance greater than or equal to a diameter or a width of the through-substrate via.
5. The method of claim 1, the removing of the first portion of the substrate to form the opening in the back side of the substrate being performed such that the opening has a depth that is less than or equal to approximately 50% of the thickness of the substrate.
6. The method of claim 1, further comprising: forming metal contacts on the back side of the substrate in direct contact with the through-substrate vias.
7. A method comprising:
forming an array of through-substrate vias through a thickness of a single crystal silicon substrate and forming semiconductor devices on a front side of the single crystal silicon substrate, the through-substrate vias extending vertically from the front side of the substrate to a back side of the substrate;
removing a first portion of the substrate to form an opening that extends a predetermined depth into the back side of the substrate and that defines a second portion of the substrate, the second portion containing the array and remaining in direct contact with and laterally surrounding vertical sidewalls of the through-substrate vias;
filling the opening with an alternate material to improve a durability of the single crystal silicon substrate and reduce a potential for cracking during fabrication, the alternate material having a lower modulus of elasticity than the single crystal silicon substrate; and
polishing the back side of the substrate so that an exposed surface of the alternate material is essentially co-planar with the back side of the substrate.
8. The method of claim 7, the filling of the opening with the alternate material being carried out at a process temperature less than or equal to 400° C.
9. The method of claim 7, the alternate material comprising silicon or germanium and being polycrystalline or amorphous.
10. The method of claim 7, the alternate material being at least as thermally conductive as the single crystal silicon substrate.
11. The method of claim 7, the alternate material being physically separated from any of the through-substrate vias by a distance greater than or equal to a diameter or a width of the through-substrate vias.
12. The method of claim 7, further comprising:
forming metal contacts on the back side of the substrate in direct contact with the through-substrate vias.
13. A method comprising:
forming through-substrate vias through a thickness of a substrate and forming semiconductor devices on a front side of the substrate, the thickness of the substrate being measured from the front side of the substrate to a back side of the substrate;
removing a first portion of the substrate to form an opening that extends a predetermined depth into the back side of the substrate and that defines a second portion of the substrate,
the second portion remaining in direct contact with and laterally surrounding a vertical sidewall of one of the through-substrate vias such that the vertical sidewall is physically separated from the opening by a distance that is at least equal to a width of the through-substrate via, and
the predetermined depth being approximately one-half the thickness of the substrate;
filling the opening with an alternate material having a lower modulus of elasticity than the substrate such that the alternate material extends laterally between adjacent second portions that laterally surround adjacent through-substrate vias, the alternate material being at least as thermally conductive as the substrate; and
polishing the back side of the substrate so that an exposed surface of the alternate material is essentially co-planar with the back side of the substrate.
14. The method of claim 13, the filling of the opening with the alternate material being carried out at a process temperature less than or equal to 400° C.
15. The method of claim 13, the alternate material comprising silicon and being polycrystalline or amorphous.
16. The method of claim 13, the alternate material comprising aerogel.
17. The method of claim 13, the alternate material comprising a metal.
18. The method of claim 13, further comprising: forming metal contacts on the back side of the substrate in direct contact with the through-substrate vias and physically separated from the alternate material.
US14/195,940 2014-03-04 2014-03-04 Methods of forming a TSV wafer with improved fracture strength Expired - Fee Related US9312205B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/195,940 US9312205B2 (en) 2014-03-04 2014-03-04 Methods of forming a TSV wafer with improved fracture strength
US14/825,778 US9607929B2 (en) 2014-03-04 2015-08-13 Tsv wafer with improved fracture strength

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/195,940 US9312205B2 (en) 2014-03-04 2014-03-04 Methods of forming a TSV wafer with improved fracture strength

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/825,778 Division US9607929B2 (en) 2014-03-04 2015-08-13 Tsv wafer with improved fracture strength

Publications (2)

Publication Number Publication Date
US20150255404A1 US20150255404A1 (en) 2015-09-10
US9312205B2 true US9312205B2 (en) 2016-04-12

Family

ID=54018116

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/195,940 Expired - Fee Related US9312205B2 (en) 2014-03-04 2014-03-04 Methods of forming a TSV wafer with improved fracture strength
US14/825,778 Expired - Fee Related US9607929B2 (en) 2014-03-04 2015-08-13 Tsv wafer with improved fracture strength

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/825,778 Expired - Fee Related US9607929B2 (en) 2014-03-04 2015-08-13 Tsv wafer with improved fracture strength

Country Status (1)

Country Link
US (2) US9312205B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI601259B (en) * 2016-02-24 2017-10-01 矽品精密工業股份有限公司 Electronic package, semiconductor substrate of the electronic package, and method for manufacturing the electronic package
ITUA20162943A1 (en) * 2016-04-27 2017-10-27 Pilegrowth Tech S R L Method for the industrial manufacture of a semiconductor structure with reduced bowing.
US11097942B2 (en) * 2016-10-26 2021-08-24 Analog Devices, Inc. Through silicon via (TSV) formation in integrated circuits
CN113410175B (en) * 2021-06-15 2023-06-02 西安微电子技术研究所 TSV conductive through hole structure preparation method

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6940181B2 (en) 2003-10-21 2005-09-06 Micron Technology, Inc. Thinned, strengthened semiconductor substrates and packages including same
US20100193954A1 (en) * 2009-02-04 2010-08-05 Max Liu Barrier Structures and Methods for Through Substrate Vias
US7871899B2 (en) 2006-01-11 2011-01-18 Amkor Technology, Inc. Methods of forming back side layers for thinned wafers
US20110193197A1 (en) 2010-02-05 2011-08-11 International Business Machines Corporation Structure and method for making crack stop for 3d integrated circuits
US8193039B2 (en) 2010-09-24 2012-06-05 Advanced Micro Devices, Inc. Semiconductor chip with reinforcing through-silicon-vias
US20130026599A1 (en) * 2011-07-27 2013-01-31 Elpida Memory, Inc. Semiconductor device
US20130093074A1 (en) * 2011-10-13 2013-04-18 Xilinx, Inc. Multi-die integrated circuit structure with heat sink
US20130140715A1 (en) 2006-05-16 2013-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Having Stress Tuning Layer and Methods of Manufacturing Same
US8466559B2 (en) 2010-12-17 2013-06-18 Intel Corporation Forming die backside coating structures with coreless packages
US20130154112A1 (en) * 2011-12-16 2013-06-20 Katholieke Universiteit Leuven, K.U. Leuven R&D Method for Forming Isolation Trenches in Micro-Bump Interconnect Structures and Devices Obtained Thereof
US20140001641A1 (en) * 2012-06-27 2014-01-02 Michael B. McShane Methods and structures for reducing heat exposure of thermally sensitive semiconductor devices
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6940181B2 (en) 2003-10-21 2005-09-06 Micron Technology, Inc. Thinned, strengthened semiconductor substrates and packages including same
US7871899B2 (en) 2006-01-11 2011-01-18 Amkor Technology, Inc. Methods of forming back side layers for thinned wafers
US20130140715A1 (en) 2006-05-16 2013-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Having Stress Tuning Layer and Methods of Manufacturing Same
US20100193954A1 (en) * 2009-02-04 2010-08-05 Max Liu Barrier Structures and Methods for Through Substrate Vias
US20110193197A1 (en) 2010-02-05 2011-08-11 International Business Machines Corporation Structure and method for making crack stop for 3d integrated circuits
US8193039B2 (en) 2010-09-24 2012-06-05 Advanced Micro Devices, Inc. Semiconductor chip with reinforcing through-silicon-vias
US8466559B2 (en) 2010-12-17 2013-06-18 Intel Corporation Forming die backside coating structures with coreless packages
US20130026599A1 (en) * 2011-07-27 2013-01-31 Elpida Memory, Inc. Semiconductor device
US20130093074A1 (en) * 2011-10-13 2013-04-18 Xilinx, Inc. Multi-die integrated circuit structure with heat sink
US20130154112A1 (en) * 2011-12-16 2013-06-20 Katholieke Universiteit Leuven, K.U. Leuven R&D Method for Forming Isolation Trenches in Micro-Bump Interconnect Structures and Devices Obtained Thereof
US20140001641A1 (en) * 2012-06-27 2014-01-02 Michael B. McShane Methods and structures for reducing heat exposure of thermally sensitive semiconductor devices
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
IBM, "Method to compensate warping of wafers due to stress in thin-film polymers using a counter-stress layer", IPCOM000160818D, Nov. 30, 2007, pp. 1-3.
Sun et al., "Deflection Reduction of GaN Wafer Bowing by Coating or Cutting Grooves in the Substrates", Chin. Phys. Lett. vol. 28, No. 4 (2011) 047303, pp. 047303-1 to 047303-4.
U.S. Appl. No. 14/825,778, Office Action Communication dated Dec. 9, 2015. p. 1-23.

Also Published As

Publication number Publication date
US20150255404A1 (en) 2015-09-10
US20150348876A1 (en) 2015-12-03
US9607929B2 (en) 2017-03-28

Similar Documents

Publication Publication Date Title
US8487425B2 (en) Optimized annular copper TSV
US9870979B2 (en) Double-sided segmented line architecture in 3D integration
US8796852B2 (en) 3D integrated circuit structure and method for manufacturing the same
US9059167B2 (en) Structure and method for making crack stop for 3D integrated circuits
JP5830212B2 (en) Semiconductor structure including backside dummy plugs for three-dimensional integration and method of manufacturing the same
US20160141228A1 (en) Device connection through a buried oxide layer in a silicon on insulator wafer
US20130093042A1 (en) TSV Formation Processes Using TSV-Last Approach
US8263492B2 (en) Through substrate vias
US20100207237A1 (en) Crack stop structure enhancement of the integrated circuit seal ring
US9543229B2 (en) Combination of TSV and back side wiring in 3D integration
CN104078414B (en) Silicon through hole and formation method
US9536842B2 (en) Structure with air gap crack stop
US9607929B2 (en) Tsv wafer with improved fracture strength
US11043445B2 (en) Semiconductor device having a through silicon via and methods of manufacturing the same
US8486805B2 (en) Through-silicon via and method for forming the same
US20150179580A1 (en) Hybrid interconnect structure and method for fabricating the same
US20120322260A1 (en) Through-silicon via forming method
TW201709430A (en) Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement
US9252083B2 (en) Semiconductor chip with power gating through silicon vias
US8754499B1 (en) Semiconductor chip with power gating through silicon vias
US11776908B2 (en) Semiconductor die edge protection for semiconductor device assemblies and associated systems and methods

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ADKISSON, JAMES W.;AMOAH, YOBA;GAMBINO, JEFFREY P.;AND OTHERS;SIGNING DATES FROM 20140224 TO 20140225;REEL/FRAME:032342/0156

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20200412