US9183345B2 - Apparatus and method for generating a power delivery network - Google Patents

Apparatus and method for generating a power delivery network Download PDF

Info

Publication number
US9183345B2
US9183345B2 US14/326,070 US201414326070A US9183345B2 US 9183345 B2 US9183345 B2 US 9183345B2 US 201414326070 A US201414326070 A US 201414326070A US 9183345 B2 US9183345 B2 US 9183345B2
Authority
US
United States
Prior art keywords
pdn
interconnection
nodes
voltage
subsets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/326,070
Other versions
US20150199467A1 (en
Inventor
Chang-Tzu LIN
Ding-Ming Kwai
Tsu-Wei Tseng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Industrial Technology Research Institute ITRI
Original Assignee
Industrial Technology Research Institute ITRI
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Industrial Technology Research Institute ITRI filed Critical Industrial Technology Research Institute ITRI
Assigned to INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE reassignment INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSENG, TSU-WEI, KWAI, DING-MING, LIN, CHANG-TZU
Publication of US20150199467A1 publication Critical patent/US20150199467A1/en
Application granted granted Critical
Publication of US9183345B2 publication Critical patent/US9183345B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • G06F17/5077
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/10Noise analysis or noise optimisation
    • G06F2217/08
    • G06F2217/78
    • G06F2217/82

Definitions

  • the disclosure relates in general to apparatus and method for generating a power delivery network.
  • PDN Power Delivery Network, or Power Distribution Network, or Power Network
  • the quality of PDN are mainly influenced by the resistance of the transmission line, i.e. when current flowing through a section of the transmission line, because of the presence the resistance, the voltage drop would be generated.
  • the voltage drop is also known as IR drop (“I” represents current and “R” represents resistance).
  • I represents current
  • R represents resistance
  • the voltage drop would lower the stability of the circuit system, and even cause the error of the circuit. For example, in an integrated circuit (IC), large voltage drop happened in the PDN would cause malfunction of the IC. And, the quality of the PDN would influence the power integrity (PI) of the IC.
  • the design of the PDN would be in uniform type. For example, horizontal/vertical power stripes of the PDN have the same spacing and width. Owing to the large voltage drop, the power supply may be not enough since different areas have different power supply requirements. Or, the power supply would be overdesigned which wasting signal winding resources. To avoid the above issues are engaged by industry.
  • FIG. 1 illustrates a flow diagram of a current integrated circuit design (hereinafter referred to as “IC design”).
  • the current IC design includes circuit design step 102 , floorplan and PDN design step 104 , circuit unit placement and clock tree generation step 106 , routing step 108 , and power analysis step 110 .
  • the designer should go back to the floorplan and PDN design step 104 from power analysis step 110 to redesign the PDN, for instance, to increase the dense of the PDN or to widen the line width of the PDN or to increase the numbers of the power sources.
  • step 104 to step 110 should be repeated with lengthy turn-around time. The total IC design time will be lengthened. If the designer repeats redesigning for several times, it may cause a significant delay in the IC design process.
  • an apparatus for generating a PDN of a circuit system may comprise a power analyzer configured to perform a first power diagnostics on the PDN of the circuit system and generate a number of areas according to the result of the first power diagnostics.
  • the areas may be divided into at least three subsets. At least one area may be selected from each of the at least three subsets, one node may be selected form each of the selected areas.
  • the nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
  • a method for generating a PDN of a circuit system may perform a first power diagnostics on the PDN of the circuit system and generate a number of areas according to the result of the first power diagnostics.
  • the areas may be divided into at least three subsets. At least one area may be selected from each of the at least three subsets.
  • One node may be selected form each of the selected areas.
  • the nodes may be connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
  • FIG. 1 illustrates a flow diagram of a current integrated circuit design.
  • FIG. 2 illustrates an exemplary flow diagram of a method for generating a PDN according to an embodiment of the disclosure.
  • FIG. 3 shows a flow diagram of circuit system design with applying the method for generating the PDN in FIG. 2 .
  • FIG. 4 illustrates one example, in which a number of exemplary interconnections or paths are shown according to an exemplary embodiment.
  • FIG. 5 illustrates exemplary target areas for generating a PDN according to an exemplary embodiment.
  • FIG. 6 illustrates an exemplary method of producing subsets according to an exemplary embodiment.
  • FIGS. 7A ⁇ 7C illustrate an example of producing a number of interconnections according to an exemplary embodiment.
  • FIG. 8 illustrates an example of interconnections in the PDN according to an exemplary embodiment.
  • FIG. 9 illustrates an exemplary block diagram of an apparatus for generating a PDN according to an exemplary embodiment
  • FIG. 10A ⁇ 10B illustrate another example of producing interconnections according to an exemplary embodiment.
  • FIG. 2 illustrates an exemplary flow diagram of a method for generating a PDN according to an embodiment of the disclosure.
  • a first power diagnostics is performed on a PDN of a circuit system.
  • a number of areas are generated according to the result of the first power diagnostics.
  • the areas are divided into at least three subsets in step 204 .
  • at least one area is selected from each of the at least three subsets, and one node is selected from each of the selected areas.
  • Those nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
  • FIG. 3 shows a flow diagram of circuit system design with applying the embodiment of the method for generating the PDN in FIG. 2 .
  • the circuit system design in FIG. 3 could be an IC design or any other circuit system design with PDN.
  • the steps for the circuit system design in FIG. 3 includes circuit design step 302 , floorplan and PDN design step 304 , circuit unit placement and clock tree generation step 306 , routing step 308 , power analysis step 310 , and PDN generating step 312 .
  • Performing a first power diagnostics on the PDN in the step 202 is accomplished in step 310 .
  • the PDN generating step 312 includes step 204 , step 206 , and the other part of step 202 . According to the flow of circuit system design of FIG.
  • step 308 is before step 312 . Therefore, when generating the PDN, it is not necessary to go back to floorplan and PDN design step 304 to redesign the PDN and perform steps 306 and 308 , which is time-consuming.
  • the flow of the circuit system design with applying the method for generating the PDN of this embodiment could build a PDN of a circuit system by repeating step 310 and step 312 .
  • the design time of the circuit system could be saved greatly.
  • the product of the circuit system could go into the market quickly.
  • a number of areas are generated according to the result of the first power diagnostics, and the locations of the areas and the level of voltage drop would be further analyzed.
  • step 204 part or all areas are selected to be target areas. These target areas are divided into at least three subsets. Each of the subsets would contain at least one target area. At least one target area is selected from each of the at least three subsets in step 206 , and one node is selected from each of the selected target areas and the nodes are connected orderly (sequentially) to form an interconnection, i.e. PDN path, with at least three nodes in the PDF.
  • a number of interconnections or PDN paths can be formed in several target areas.
  • FIG. 4 shows one example, in which exemplary interconnections or PDN paths include interconnections P 1 , P 2 and P 3 , for example. When forming the interconnections or PDN paths, the target areas to be connected and the order of connections are taken into consideration.
  • the selected target areas can be divided to at least 3 subsets.
  • the 8 areas are all selected as 8 target areas in FIG. 5 .
  • the 8 target areas are arranged orderly (sequentially) as target areas A, B . . . H from the most serious level to the least serious level of voltage drop.
  • a and B target areas belong to the first subset.
  • C, D and E target areas belong to the second subset.
  • F, G and H target areas belong to the third subset.
  • the PDN of the circuit system could be divided to 3 subsets orderly (sequentially) according to the level of voltage drop.
  • the first subset includes A and B target areas whose voltage drop levels are most serious.
  • the second subset includes C, D and E target areas whose voltage drop levels are less serious than the first subset.
  • the third subset includes F, G and H target areas whose voltage drop levels are least serious in this example.
  • a PDN interconnection could be formed by selecting at least one target area from each subset.
  • the selected target areas could be A target area from the first subset, C and E target areas from the second subset, and F target area from the third subset.
  • One node could be selected from each of the selected target areas.
  • Interconnection P 1 could be formed by connecting those nodes selected from the selected target areas A, C, E, and F. The interconnection P 1 passes through the selected target areas F, E, C and A orderly (sequentially).
  • interconnection P 1 starts from the third subset, whose voltage drop level is least serious, passes through the second subset, whose voltage drop level is less serious, and ends in the first subset, whose voltage drop level is most serious.
  • the selected target areas could be B target area from the first subset, C target area from the second subset, and G target area from the third subset.
  • One node could be selected from each of the selected target areas.
  • Interconnection P 2 could be formed by connecting those nodes selected from the selected target areas B, C, and G. The interconnection P 2 passes through the selected target areas G, C and B orderly (sequentially) As showed by FIG. 8 , interconnection P 2 starts from the third subset, whose voltage drop level is least serious, passes through the second subset, whose voltage drop level is less serious, and ends in the first subset, whose voltage drop level is most serious.
  • the selected target areas could be A target area from the first subset, C target area from the second subset, and G target area from the third subset.
  • One node could be selected from each of the selected target areas.
  • Interconnection P 3 could be formed by connecting those nodes selected from the selected target areas A, C and G. The interconnection P 3 passes through the selected target areas A, C and G orderly (sequentially) As showed by FIG. 8 , interconnection P 3 starts from the first subset, whose voltage drop level is most serious, passes through the second subset, whose voltage drop level is less serious, and ends in the third subset, whose voltage drop level is least serious.
  • the designer could generate lots of PDN paths with characteristic of order and characteristic of direction according to the level of voltage drop and position of the areas to form the PDN.
  • the number of the target areas and interconnections could be decided by the designer in this embodiment of the disclosure.
  • the target area is a 2 dimensional block, therefore the problem of voltage drop could be handled with the aspect of “surface”.
  • the total circuit units would be the same because the existing design of PDN is used in the circuit system for this disclosure.
  • FIG. 9 illustrates an exemplary block diagram of an apparatus for generating a PDN according to an embodiment.
  • PDN device 100 performs a first power diagnostics on a PDN 12 of a circuit system 10 .
  • a number of areas are generated according to the result of the first power diagnostics.
  • the areas are divided into at least three subsets. At least one area is selected from each of the at least three subsets, one node is selected from each of the selected areas, and the nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN 12 .
  • the interconnection would have a segment between each two adjacent nodes.
  • the segments could have the same or different metal width.
  • the interconnection could be formed via I/O pads, rings, strips, bumps, rails, and through silicon vias (TSV), or a combination thereof.
  • TSV through silicon vias
  • the interconnection could be vertical metal segment, horizontal metal segment, and diagonal metal segment, or a combination thereof.
  • the nodes of the interconnection could be located in different metal layers as well as the segments. The same interconnection could pass through different metal layers.
  • the metal layers are the routing metal layers of the circuit system.
  • the interconnection could be formed according to a circuit design rule or a design rule checking, or further according a design rule of nodes connection among different metal. Power diagnostics includes voltage drop analysis.
  • power network analyzer 20 could perform power diagnostics again while a number of interconnections have been formed in the target areas.
  • the above steps of forming an interconnection could be repeated to form another interconnection in the target areas.
  • the designer could go back to power analysis step 310 and perform power diagnostics again for the IC having a number of PDN paths. And then, the designer could perform PDN generating step 312 and generate another interconnection.
  • the PDN of the circuit system could be further constructed or compensated by the another interconnection.
  • FIG. 10A and FIG. 10B shows another examples of producing interconnection. Referring to FIG. 10A , the another interconnection could be formed through the nodes of target areas A, C and E. Or, referring to FIG.
  • the interconnection could be formed through the nodes of target areas A, C, E and F.
  • the number of areas should be not limited to 8 as shown in FIG. 10A and FIG. 10B .
  • the number of areas could be 10, 16, and so on. Performing the power diagnostics and forming the interconnections could be executed for many times until the PDN of the circuit system is with sufficient integrity or stability, or until the winding space used up.
  • the areas could be divided into at least 3 subsets based on at least 2 target values.
  • the at least 2 target values could be defined by the user interface 30 , or defined by reading from the storage media 40 .
  • the at least 2 target values are less than the operation voltage.
  • the operation voltage is between the power source voltage and the ground voltage, or is equal to the power source voltage.
  • the operation voltage can be equal to the ground voltage, and the at least 2 target values are larger than the operation voltage (i.e. the ground voltage).
  • the ground bounce can be efficiently reduced.
  • the power network analyzer 20 is used to perform power diagnostics by analyzing the voltage drop of each node in the PDN of the circuit system or ground bounce noise or the combination thereof.
  • each of the at least 3 subsets could be further subdivided into at least 3 subsets, and the method for generating the PDN paths or interconnections disclosed above can be repeated. That is, the designer could perform power diagnostics again, and select sub-nodes from each subset of the subsets to form the interconnections. There is no limitation for the number of times for above steps.
  • the method for generating the PDN of this disclosure is used in this experiment.
  • the density of the PDN paths increases 16.67% and 32.47%, respectively, for example.
  • the present disclosure is not limited thereto.
  • the density of the PDN paths interconnections could be designed according to the result of the power diagnostics. For example, when the density of the PDN paths increases 16.67%, the improvement of voltage drop could be 33.08%. When the density of the PDN paths increases 32.47%, the improvement of voltage drop could be up to 36.72%.
  • the proposed method and apparatus of this disclosure could avoid the time-consuming redesign of PDN, reduce the design time of IC design and make the product of IC go to the market earlier, reduce the voltage drop of the PDN effectively, and enhance the overall stability and quality of PDN.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

An apparatus and method for generating a power delivery network (PDN) of a circuit system is provided. The apparatus performs a power diagnostics on the PDN of a circuit system. According to result of the power diagnostics, a number of areas are generated and divided into at least three subsets. At least one area is selected from each of the at least three subsets, and one node is selected from each of the selected areas, and the nodes are connected sequentially to form an interconnection with at least three nodes in the PDN.

Description

This application claims the benefit of Taiwan application Serial No. 103101319, filed Jan. 14, 2014, the disclosure of which is incorporated by reference herein in its entirety.
TECHNICAL FIELD
The disclosure relates in general to apparatus and method for generating a power delivery network.
BACKGROUND
There are many researches related to the design of power delivery network (Power Delivery Network, or Power Distribution Network, or Power Network, hereinafter all referred to as “PDN”). Generally speaking, there are many nodes in the PDN. The quality of PDN are mainly influenced by the resistance of the transmission line, i.e. when current flowing through a section of the transmission line, because of the presence the resistance, the voltage drop would be generated. The voltage drop is also known as IR drop (“I” represents current and “R” represents resistance). The voltage drop would lower the stability of the circuit system, and even cause the error of the circuit. For example, in an integrated circuit (IC), large voltage drop happened in the PDN would cause malfunction of the IC. And, the quality of the PDN would influence the power integrity (PI) of the IC.
Normally, the design of the PDN would be in uniform type. For example, horizontal/vertical power stripes of the PDN have the same spacing and width. Owing to the large voltage drop, the power supply may be not enough since different areas have different power supply requirements. Or, the power supply would be overdesigned which wasting signal winding resources. To avoid the above issues are engaged by industry.
FIG. 1 illustrates a flow diagram of a current integrated circuit design (hereinafter referred to as “IC design”). The current IC design includes circuit design step 102, floorplan and PDN design step 104, circuit unit placement and clock tree generation step 106, routing step 108, and power analysis step 110. After power analysis step 110, if it is necessary to provide power distribution network adjustment, the designer should go back to the floorplan and PDN design step 104 from power analysis step 110 to redesign the PDN, for instance, to increase the dense of the PDN or to widen the line width of the PDN or to increase the numbers of the power sources. When redesigning, step 104 to step 110 should be repeated with lengthy turn-around time. The total IC design time will be lengthened. If the designer repeats redesigning for several times, it may cause a significant delay in the IC design process.
SUMMARY
According to one embodiment, an apparatus for generating a PDN of a circuit system is provided. The apparatus may comprise a power analyzer configured to perform a first power diagnostics on the PDN of the circuit system and generate a number of areas according to the result of the first power diagnostics. The areas may be divided into at least three subsets. At least one area may be selected from each of the at least three subsets, one node may be selected form each of the selected areas. The nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
According to an alternative embodiment, a method for generating a PDN of a circuit system is provided. The method may perform a first power diagnostics on the PDN of the circuit system and generate a number of areas according to the result of the first power diagnostics. The areas may be divided into at least three subsets. At least one area may be selected from each of the at least three subsets. One node may be selected form each of the selected areas. The nodes may be connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 illustrates a flow diagram of a current integrated circuit design.
FIG. 2 illustrates an exemplary flow diagram of a method for generating a PDN according to an embodiment of the disclosure.
FIG. 3 shows a flow diagram of circuit system design with applying the method for generating the PDN in FIG. 2.
FIG. 4 illustrates one example, in which a number of exemplary interconnections or paths are shown according to an exemplary embodiment.
FIG. 5 illustrates exemplary target areas for generating a PDN according to an exemplary embodiment.
FIG. 6 illustrates an exemplary method of producing subsets according to an exemplary embodiment.
FIGS. 7A˜7C illustrate an example of producing a number of interconnections according to an exemplary embodiment.
FIG. 8 illustrates an example of interconnections in the PDN according to an exemplary embodiment.
FIG. 9 illustrates an exemplary block diagram of an apparatus for generating a PDN according to an exemplary embodiment
FIG. 10A˜10B illustrate another example of producing interconnections according to an exemplary embodiment.
In the following detailed description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the disclosed embodiments. It will be apparent, however, that one or more embodiments may be practiced without these specific details. In other instances, well-known structures and devices are schematically shown in order to simplify the drawing.
DETAILED DESCRIPTION
Below, exemplary embodiments will be described in detail with reference to accompanying drawings so as to be easily realized by a person having ordinary knowledge in the art. The inventive concept may be embodied in various forms without being limited to the disclosure set forth herein. Descriptions of well-known parts are omitted for clarity, and like reference numerals refer to like elements throughout.
FIG. 2 illustrates an exemplary flow diagram of a method for generating a PDN according to an embodiment of the disclosure. First, in step 202, a first power diagnostics is performed on a PDN of a circuit system. A number of areas are generated according to the result of the first power diagnostics. There is at least one node in each area. After that, the areas are divided into at least three subsets in step 204. Then, in step 206, at least one area is selected from each of the at least three subsets, and one node is selected from each of the selected areas. Those nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN.
FIG. 3 shows a flow diagram of circuit system design with applying the embodiment of the method for generating the PDN in FIG. 2. The circuit system design in FIG. 3 could be an IC design or any other circuit system design with PDN. The steps for the circuit system design in FIG. 3 includes circuit design step 302, floorplan and PDN design step 304, circuit unit placement and clock tree generation step 306, routing step 308, power analysis step 310, and PDN generating step 312. Performing a first power diagnostics on the PDN in the step 202 is accomplished in step 310. The PDN generating step 312 includes step 204, step 206, and the other part of step 202. According to the flow of circuit system design of FIG. 3, step 308 is before step 312. Therefore, when generating the PDN, it is not necessary to go back to floorplan and PDN design step 304 to redesign the PDN and perform steps 306 and 308, which is time-consuming. The flow of the circuit system design with applying the method for generating the PDN of this embodiment could build a PDN of a circuit system by repeating step 310 and step 312. The design time of the circuit system could be saved greatly. The product of the circuit system could go into the market quickly.
To be more detailed, in the step 202 of FIG. 2, a number of areas are generated according to the result of the first power diagnostics, and the locations of the areas and the level of voltage drop would be further analyzed. In step 204, part or all areas are selected to be target areas. These target areas are divided into at least three subsets. Each of the subsets would contain at least one target area. At least one target area is selected from each of the at least three subsets in step 206, and one node is selected from each of the selected target areas and the nodes are connected orderly (sequentially) to form an interconnection, i.e. PDN path, with at least three nodes in the PDF. A number of interconnections or PDN paths can be formed in several target areas. FIG. 4 shows one example, in which exemplary interconnections or PDN paths include interconnections P1, P2 and P3, for example. When forming the interconnections or PDN paths, the target areas to be connected and the order of connections are taken into consideration.
Base on the above descriptions, the following provides more detailed descriptions for each step. Assume the range from 0.65 V to 1.0 V is divided to obtain 7 voltage ranges, as shown in FIG. 5. 0 V to 0.65 V is an independent voltage range. Therefore, 8 voltage ranges are obtained. The 8 voltage ranges are referred as 8 voltage drop ranges. (For another exemplary embodiment, the number of the voltage ranges can be modified. For example, 10 voltage ranges could be used.) After performing the first power diagnostics, 8 areas are produced based on the 8 voltage ranges. Referring to FIG. 5, there are 8 areas represented by A, B, C . . . , and H in the circuit system. At least 3 target areas could be selected from the areas for PDN generating.
After that, the selected target areas can be divided to at least 3 subsets. The following would be an example with 3 subsets. Assume the 8 areas are all selected as 8 target areas in FIG. 5. According to the level of voltage drop shown in FIG. 6, the 8 target areas are arranged orderly (sequentially) as target areas A, B . . . H from the most serious level to the least serious level of voltage drop. A and B target areas belong to the first subset. C, D and E target areas belong to the second subset. F, G and H target areas belong to the third subset. The PDN of the circuit system could be divided to 3 subsets orderly (sequentially) according to the level of voltage drop. The first subset includes A and B target areas whose voltage drop levels are most serious. The second subset includes C, D and E target areas whose voltage drop levels are less serious than the first subset. The third subset includes F, G and H target areas whose voltage drop levels are least serious in this example.
According to the method for generating the PDN in this embodiment of the disclosure, a PDN interconnection could be formed by selecting at least one target area from each subset. Referring to FIG. 7A, the selected target areas could be A target area from the first subset, C and E target areas from the second subset, and F target area from the third subset. One node could be selected from each of the selected target areas. Interconnection P1 could be formed by connecting those nodes selected from the selected target areas A, C, E, and F. The interconnection P1 passes through the selected target areas F, E, C and A orderly (sequentially). As showed by FIG. 8, interconnection P1 starts from the third subset, whose voltage drop level is least serious, passes through the second subset, whose voltage drop level is less serious, and ends in the first subset, whose voltage drop level is most serious.
After that, referring to FIG. 7B, the selected target areas could be B target area from the first subset, C target area from the second subset, and G target area from the third subset. One node could be selected from each of the selected target areas. Interconnection P2 could be formed by connecting those nodes selected from the selected target areas B, C, and G. The interconnection P2 passes through the selected target areas G, C and B orderly (sequentially) As showed by FIG. 8, interconnection P2 starts from the third subset, whose voltage drop level is least serious, passes through the second subset, whose voltage drop level is less serious, and ends in the first subset, whose voltage drop level is most serious.
Referring to FIG. 7C, the selected target areas could be A target area from the first subset, C target area from the second subset, and G target area from the third subset. One node could be selected from each of the selected target areas. Interconnection P3 could be formed by connecting those nodes selected from the selected target areas A, C and G. The interconnection P3 passes through the selected target areas A, C and G orderly (sequentially) As showed by FIG. 8, interconnection P3 starts from the first subset, whose voltage drop level is most serious, passes through the second subset, whose voltage drop level is less serious, and ends in the third subset, whose voltage drop level is least serious.
Thus, the designer could generate lots of PDN paths with characteristic of order and characteristic of direction according to the level of voltage drop and position of the areas to form the PDN. The number of the target areas and interconnections could be decided by the designer in this embodiment of the disclosure. The target area is a 2 dimensional block, therefore the problem of voltage drop could be handled with the aspect of “surface”. Further, the total circuit units would be the same because the existing design of PDN is used in the circuit system for this disclosure.
FIG. 9 illustrates an exemplary block diagram of an apparatus for generating a PDN according to an embodiment. Applying power network analyzer 20, PDN device 100 performs a first power diagnostics on a PDN 12 of a circuit system 10. A number of areas are generated according to the result of the first power diagnostics. The areas are divided into at least three subsets. At least one area is selected from each of the at least three subsets, one node is selected from each of the selected areas, and the nodes are connected orderly (sequentially) to form an interconnection with at least three nodes in the PDN 12.
According to an exemplary embodiment, the interconnection would have a segment between each two adjacent nodes. The segments could have the same or different metal width. The interconnection could be formed via I/O pads, rings, strips, bumps, rails, and through silicon vias (TSV), or a combination thereof. According to another exemplary embodiment, the interconnection could be vertical metal segment, horizontal metal segment, and diagonal metal segment, or a combination thereof. According to another exemplary embodiment, the nodes of the interconnection could be located in different metal layers as well as the segments. The same interconnection could pass through different metal layers. The metal layers are the routing metal layers of the circuit system. According to another exemplary embodiment, the interconnection could be formed according to a circuit design rule or a design rule checking, or further according a design rule of nodes connection among different metal. Power diagnostics includes voltage drop analysis.
According to an exemplary embodiment, power network analyzer 20 could perform power diagnostics again while a number of interconnections have been formed in the target areas. The above steps of forming an interconnection could be repeated to form another interconnection in the target areas. For example, after PDN generating step 312, the designer could go back to power analysis step 310 and perform power diagnostics again for the IC having a number of PDN paths. And then, the designer could perform PDN generating step 312 and generate another interconnection. The PDN of the circuit system could be further constructed or compensated by the another interconnection. FIG. 10A and FIG. 10B shows another examples of producing interconnection. Referring to FIG. 10A, the another interconnection could be formed through the nodes of target areas A, C and E. Or, referring to FIG. 10B, the interconnection could be formed through the nodes of target areas A, C, E and F. After performing the power diagnostics again and generating the areas, the number of areas should be not limited to 8 as shown in FIG. 10A and FIG. 10B. The number of areas could be 10, 16, and so on. Performing the power diagnostics and forming the interconnections could be executed for many times until the PDN of the circuit system is with sufficient integrity or stability, or until the winding space used up.
Referring to FIG. 9, according to an exemplary embodiment, the areas could be divided into at least 3 subsets based on at least 2 target values. The at least 2 target values could be defined by the user interface 30, or defined by reading from the storage media 40. The at least 2 target values are less than the operation voltage. The operation voltage is between the power source voltage and the ground voltage, or is equal to the power source voltage. In other embodiment, the operation voltage can be equal to the ground voltage, and the at least 2 target values are larger than the operation voltage (i.e. the ground voltage). In this embodiment, the ground bounce can be efficiently reduced. The power network analyzer 20 is used to perform power diagnostics by analyzing the voltage drop of each node in the PDN of the circuit system or ground bounce noise or the combination thereof. According to an exemplary embodiment, each of the at least 3 subsets could be further subdivided into at least 3 subsets, and the method for generating the PDN paths or interconnections disclosed above can be repeated. That is, the designer could perform power diagnostics again, and select sub-nodes from each subset of the subsets to form the interconnections. There is no limitation for the number of times for above steps.
Using integrated circuit as a test carrier to perform an experiment, the method for generating the PDN of this disclosure is used in this experiment. In this experiment, the density of the PDN paths increases 16.67% and 32.47%, respectively, for example. However, the present disclosure is not limited thereto. The density of the PDN paths interconnections could be designed according to the result of the power diagnostics. For example, when the density of the PDN paths increases 16.67%, the improvement of voltage drop could be 33.08%. When the density of the PDN paths increases 32.47%, the improvement of voltage drop could be up to 36.72%. Thus, the proposed method and apparatus of this disclosure could avoid the time-consuming redesign of PDN, reduce the design time of IC design and make the product of IC go to the market earlier, reduce the voltage drop of the PDN effectively, and enhance the overall stability and quality of PDN.
It will be apparent to those skilled in the art that various modifications and variations can be made to the disclosed embodiments. It is intended that the specification and examples be considered as exemplary only, with a true scope of the disclosure being indicated by the following claims and their equivalents.

Claims (22)

What is claimed is:
1. An apparatus for generating a PDN (power delivery network) of a circuit system, the apparatus comprising:
a power analyzer, configured to perform a first power diagnostics on the PDN of the circuit system and generate a plurality of areas corresponding to different voltage ranges according to the result of the first power diagnostics;
wherein the areas are divided into at least three subsets according to different levels of voltage drop, and
wherein at least one area is selected from each of the at least three subsets, one node is selected from each of the selected areas, and the nodes are connected sequentially to form an interconnection with at least three nodes in the PDN.
2. The apparatus according to claim 1, wherein the apparatus further comprising a user interface or a storage media, and the areas are divided into the at least three subsets according to at least two target voltage values corresponding to voltage boundaries of the at least three subsets, the at least two target voltage values being obtained from the user interface or read from the storage media.
3. The apparatus according to claim 2, wherein either:
the at least two target voltage values are less than an operation voltage of the PDN and the operation voltage is between a power source voltage and a ground voltage, or is substantially equal to the power source voltage, or
the operation voltage of the PDN is substantially equal to the ground voltage, and the at least two target voltage values are each larger than the operation voltage.
4. The apparatus according to claim 1, wherein the power analyzer is used to perform the first power diagnostics by analyzing at least one of voltage drops of the PDN and a ground bounce noise.
5. The apparatus according to claim 1, wherein the interconnection has a segment between each two adjacent nodes, and the segments have the same or different metal width, and the interconnection comprises a horizontal metal segment, a vertical metal segment, a diagonal metal segment, or a combination thereof.
6. The apparatus according to claim 1, wherein the nodes of the interconnection are located in different metal layers.
7. The apparatus according to claim 1, wherein each of the at least three subsets are further divided into at least three subsets.
8. The apparatus according to claim 1, wherein the nodes of the interconnection are selected from the group consisting of I/O pads, rings, strips, bumps, rails, through silicon vias, and a combination thereof.
9. The apparatus according to claim 1, wherein the interconnection is formed according to a circuit design rule or a design rule checking, or further according to a design rule of nodes connection among different metals.
10. The apparatus according to claim 1, wherein the power analyzer performs a second power diagnostics after the interconnection is formed, and another interconnection is generated with at least three nodes connected sequentially in the PDN accordingly.
11. The apparatus according to claim 1, wherein the nodes of the interconnection are connected according to the order of the areas, and the interconnection has the characteristics of a surface.
12. A method for generating a PDN of a circuit system, the method comprising:
performing a first power diagnostics on the PDN of the circuit system by a PDN device;
generating a plurality of areas corresponding to different voltage ranges according to the result of the first power diagnostics;
dividing the areas into at least three subsets according to different levels of voltage drop; and
selecting at least one area from each of the at least three subsets, selecting one node from each of the selected areas, and connecting the nodes sequentially to form an interconnection with at least three nodes in the PDN.
13. The method according to claim 12, wherein the method further comprises defining at least two target voltage values and dividing the areas into the at least three subsets according to the at least two target voltage values corresponding to voltage boundaries of the at least three subsets, the at least two target voltage values being obtained from a user interface or read from a storage media.
14. The method according to claim 13, wherein either:
the at least two target voltage values are less than an operation voltage of the PDN and the operation voltage is between a power source voltage and a ground voltage, or is substantially equal to the power source voltage, or
the operation voltage of the PDN is substantially equal to the ground voltage, and the at least two target voltage values are larger than the operation voltage.
15. The method according to claim 12, wherein a power analyzer is used to perform the first power diagnostics by analyzing at least one of voltage drops of the PDN and a ground bounce noise.
16. The method according to claim 12, wherein the interconnection has a segment between each two adjacent nodes, and the segments have the same or different metal width, and the interconnection comprises a horizontal metal segment, a vertical metal segment, a diagonal metal segment, or a combination thereof.
17. The method according to claim 12, wherein the nodes of the interconnection are located in different metal layers.
18. The method according to claim 12, wherein each of the at least three subsets are further divided into at least three subsets.
19. The method according to claim 12, wherein the nodes of the interconnection are selected from the group consisting of I/O pads, rings, strips, bumps, rails, through silicon vias, and a combination thereof.
20. The method according to claim 12, wherein the interconnection is formed according to a circuit design rule or a design rule checking, or further according to a design rule of nodes connection among different metals.
21. The method according to claim 12, further comprising:
performing a second power diagnostics after the interconnection is formed, and repeating above steps to generate another interconnection with at least three nodes connected sequentially in the PDN accordingly.
22. The method according to claim 12, wherein the nodes of the interconnection are connected according to the order of the areas, and the interconnection has the characteristics of a surface.
US14/326,070 2014-01-14 2014-07-08 Apparatus and method for generating a power delivery network Active US9183345B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
TW103101319A TWI527392B (en) 2014-01-14 2014-01-14 Device and method for designing power network
TW103101319 2014-01-14
TW10310319 2014-01-14

Publications (2)

Publication Number Publication Date
US20150199467A1 US20150199467A1 (en) 2015-07-16
US9183345B2 true US9183345B2 (en) 2015-11-10

Family

ID=54198471

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/326,070 Active US9183345B2 (en) 2014-01-14 2014-07-08 Apparatus and method for generating a power delivery network

Country Status (2)

Country Link
US (1) US9183345B2 (en)
TW (1) TWI527392B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10564706B2 (en) 2016-12-01 2020-02-18 Industrial Technology Research Institute Power source tolerance analysis method and system
US10785867B2 (en) 2018-09-25 2020-09-22 International Business Machines Corporation Automatic determination of power plane shape in printed circuit board

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111400988B (en) * 2018-12-27 2023-08-22 北京忆芯科技有限公司 Bump (Bump) pad layout method for integrated circuit chip

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6118712A (en) 1997-07-21 2000-09-12 Samsung Electronics Co., Ltd. Redundancy fuse boxes and redundancy repair structures for semiconductor devices
US6405346B1 (en) 1999-06-08 2002-06-11 Fujitsu Limited Method for optimizing power supply wiring in a semiconductor integrated circuit
CN1477697A (en) 2002-08-21 2004-02-25 矽统科技股份有限公司 Analysis methd of power supply random signal and its reducing method
US7079998B2 (en) 2002-08-12 2006-07-18 Silicon Integrated Systems Corporation Method for analyzing power noise and method for reducing the same
CN1917206A (en) 2006-08-25 2007-02-21 威盛电子股份有限公司 Net of power supply ground for integrated circuit, and arrangement method
US7353490B2 (en) 2004-10-29 2008-04-01 Synopsys, Inc. Power network synthesizer for an integrated circuit design
US20080244497A1 (en) * 2007-03-31 2008-10-02 Freescale Semiconductor, Inc. On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
US7434189B2 (en) 2005-10-20 2008-10-07 Broadcom Corporation I/O driver power distribution method for reducing silicon area
US7603641B2 (en) 2003-11-02 2009-10-13 Mentor Graphics Corporation Power/ground wire routing correction and optimization
US7827511B2 (en) 2003-06-11 2010-11-02 Nxp B.V. Power distribution network of an integrated circuit
CN101908080A (en) 2009-06-03 2010-12-08 复旦大学 Method for designing power supply network quickly
US8051401B2 (en) 2008-10-15 2011-11-01 Arm Limited Post-routing power supply modification for an integrated circuit
US20120221312A1 (en) 2011-02-24 2012-08-30 Cadence Design Systems, Inc. Method and system for power delivery network analysis
US8276110B2 (en) 2010-01-22 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing voltage drops in power networks using unused spaces in integrated circuits
US20120242149A1 (en) 2011-03-25 2012-09-27 Chia-Lin Chuang Method for designing power distribution network of circuit system and related circuit system
US20120250443A1 (en) 2011-03-31 2012-10-04 Ruchir Saraswat Energy Efficient Power Distribution for 3D INTEGRATED CIRCUIT Stack
US8286110B1 (en) 2010-12-27 2012-10-09 Cadence Design Systems, Inc. System and method for adapting electrical integrity analysis to parametrically integrated environment
US20120290996A1 (en) 2009-07-06 2012-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying Power to Integrated Circuits Using a Grid Matrix Formed of Through-Silicon Vias
US8327306B2 (en) 2009-10-30 2012-12-04 Entasys Designs, Inc. Method for optimizing location and number of power/ground pads on power/ground distribution network with multiple voltage domains

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6118712A (en) 1997-07-21 2000-09-12 Samsung Electronics Co., Ltd. Redundancy fuse boxes and redundancy repair structures for semiconductor devices
US6405346B1 (en) 1999-06-08 2002-06-11 Fujitsu Limited Method for optimizing power supply wiring in a semiconductor integrated circuit
US7079998B2 (en) 2002-08-12 2006-07-18 Silicon Integrated Systems Corporation Method for analyzing power noise and method for reducing the same
TWI266215B (en) 2002-08-12 2006-11-11 Silicon Integrated Sys Corp Method for analyzing power noise and method for reducing the same
CN1477697A (en) 2002-08-21 2004-02-25 矽统科技股份有限公司 Analysis methd of power supply random signal and its reducing method
US7827511B2 (en) 2003-06-11 2010-11-02 Nxp B.V. Power distribution network of an integrated circuit
US7603641B2 (en) 2003-11-02 2009-10-13 Mentor Graphics Corporation Power/ground wire routing correction and optimization
US7353490B2 (en) 2004-10-29 2008-04-01 Synopsys, Inc. Power network synthesizer for an integrated circuit design
US7434189B2 (en) 2005-10-20 2008-10-07 Broadcom Corporation I/O driver power distribution method for reducing silicon area
CN1917206A (en) 2006-08-25 2007-02-21 威盛电子股份有限公司 Net of power supply ground for integrated circuit, and arrangement method
US7986036B2 (en) 2006-08-25 2011-07-26 Via Technologies, Inc. Power/ground network of integrated circuits and arrangement thereof
US20080244497A1 (en) * 2007-03-31 2008-10-02 Freescale Semiconductor, Inc. On-chip decoupling capacitance and power/ground network wire co-optimization to reduce dynamic noise
US8051401B2 (en) 2008-10-15 2011-11-01 Arm Limited Post-routing power supply modification for an integrated circuit
CN101908080A (en) 2009-06-03 2010-12-08 复旦大学 Method for designing power supply network quickly
US20120290996A1 (en) 2009-07-06 2012-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying Power to Integrated Circuits Using a Grid Matrix Formed of Through-Silicon Vias
US8327306B2 (en) 2009-10-30 2012-12-04 Entasys Designs, Inc. Method for optimizing location and number of power/ground pads on power/ground distribution network with multiple voltage domains
US8276110B2 (en) 2010-01-22 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing voltage drops in power networks using unused spaces in integrated circuits
US8286110B1 (en) 2010-12-27 2012-10-09 Cadence Design Systems, Inc. System and method for adapting electrical integrity analysis to parametrically integrated environment
US20120221312A1 (en) 2011-02-24 2012-08-30 Cadence Design Systems, Inc. Method and system for power delivery network analysis
US20120242149A1 (en) 2011-03-25 2012-09-27 Chia-Lin Chuang Method for designing power distribution network of circuit system and related circuit system
US20120250443A1 (en) 2011-03-31 2012-10-04 Ruchir Saraswat Energy Efficient Power Distribution for 3D INTEGRATED CIRCUIT Stack

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
Chieh-Jui Lee et al., "Hierarchical Power Network Synthesis for Multiple Power Domain Designs" 2012 13th International Symposium on Quality Electronic Design (ISQED), pp. 477-482, Mar. 2012.
Hsien-Te Chen et al., "A New Architecture for Power Network in 3D IC" 2011 Design, Automation & Test in Europe Conference & Exhibition (Date), pp. 1-6, Mar. 2011.
Jaewon Oh et al., "Multi-pad Power/Ground Network Design for Uniform Distribution of Ground Bounce" Proceedings Design Automation Conference, 1998, pp. 287-290, Jun. 1998.
Kaijian Shi et al., "A Power Network Synthesis Method for Industrial Power Gating Designs" 8th International Symposium on Quality Electronic Design, 2007. ISQED '07, pp. 362-367, Mar. 2007.
Nishit Kapadia et al., "A Power Delivery Network Aware Framework for Synthesis of 3D Networks-on-Chip with Multiple Voltage Islands" 2012 25th International Conference on VLSI Design (VLSID), pp. 262-267, Jan. 2012.
Paul Falkenstern et al., "Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis" 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 169-174, Jan. 2010.
Qiang Zhou et al., "Floorplanning Considering IR Drop in Multiple Supply Voltages Island Designs" IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 19, No. 4, Apr. 2011.
Wan-Ping Lee et al., "An ILP Algorithm for Post-Floorplanning Voltage-Island Generation Considering Power-Network Planning" ICCAD '07, Proceedings of the 2007 IEEE/ACM International Conference on Computer-aided design, pp. 650-655, Nov. 2007.
Wan-Ping Lee et al., "Post-Floorplanning Power/Ground Ring Synthesis for Multiple-Supply-Voltage Designs" ISPD '09, Proceedings of the 2009 International Symposium on Physical Design, pp. 5-12, Mar. 2009.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10564706B2 (en) 2016-12-01 2020-02-18 Industrial Technology Research Institute Power source tolerance analysis method and system
US10785867B2 (en) 2018-09-25 2020-09-22 International Business Machines Corporation Automatic determination of power plane shape in printed circuit board

Also Published As

Publication number Publication date
US20150199467A1 (en) 2015-07-16
TWI527392B (en) 2016-03-21
TW201528715A (en) 2015-07-16

Similar Documents

Publication Publication Date Title
US8495547B2 (en) Providing secondary power pins in integrated circuit design
US9026953B2 (en) Compression method and system for use with multi-patterning
US9530727B2 (en) Conductive line routing for multi-patterning technology
US10672709B2 (en) Power grid, IC and method for placing power grid
US9183345B2 (en) Apparatus and method for generating a power delivery network
US9360884B2 (en) Clocking for pipelined routing
US11163933B2 (en) Layout method
JP5865642B2 (en) Semiconductor device, chip ID assigning method and setting method thereof
US9390216B2 (en) System and method for obstacle-avoiding signal bus routing
ZA201906585B (en) Systems and methods for performing data processing operations using variable level parallelism
US20050146380A1 (en) Analysis apparatus for semiconductor LSI circuit
US8499268B2 (en) Method of supporting layout design of semiconductor integrated circuit
KR20150000951A (en) Method of designing power supply network
TW201822035A (en) Power source tolerance analysis method and system
US7966597B2 (en) Method and system for routing of integrated circuit design
CN113361227B (en) Method for simulating voltage drop of packaging and printed board level distributed power supply
Ozdal et al. Exact route matching algorithms for analog and mixed signal integrated circuits
US20080195986A1 (en) Power grid tuning for dc voltage equalization
US6848092B2 (en) Layout of networks using parallel and series elements
Pavlidis et al. Power distribution paths in 3-D ICs
Tsai et al. Electromigration-and obstacle-avoiding routing tree construction
US8949761B2 (en) Techniques for routing signal wires in an integrated circuit design
US8352896B2 (en) System and method for distribution analysis of stacked-die integrated circuits
CN101499470B (en) Power layout of integrated circuit and its design method
JP2014142841A (en) Design method, design program, and circuit

Legal Events

Date Code Title Description
AS Assignment

Owner name: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, CHANG-TZU;KWAI, DING-MING;TSENG, TSU-WEI;SIGNING DATES FROM 20140613 TO 20140617;REEL/FRAME:033281/0682

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8