US7759921B2 - Digital controller for DC-DC switching converters for operation at ultra-high constant switching frequencies - Google Patents

Digital controller for DC-DC switching converters for operation at ultra-high constant switching frequencies Download PDF

Info

Publication number
US7759921B2
US7759921B2 US11/576,433 US57643305A US7759921B2 US 7759921 B2 US7759921 B2 US 7759921B2 US 57643305 A US57643305 A US 57643305A US 7759921 B2 US7759921 B2 US 7759921B2
Authority
US
United States
Prior art keywords
digital
digital controller
switching
frequency
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/576,433
Other versions
US20080303501A1 (en
Inventor
Aleksandar Prodic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Morgan Stanley Senior Funding Inc
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of US20080303501A1 publication Critical patent/US20080303501A1/en
Assigned to NXP B.V. reassignment NXP B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PRODIC, ALEKSANDAR
Application granted granted Critical
Publication of US7759921B2 publication Critical patent/US7759921B2/en
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. SECURITY AGREEMENT SUPPLEMENT Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12092129 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12681366 PREVIOUSLY RECORDED ON REEL 039361 FRAME 0212. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12681366 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to NXP B.V. reassignment NXP B.V. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 042762 FRAME 0145. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 042985 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 039361 FRAME 0212. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT. Assignors: NXP B.V.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/02Conversion of dc power input into dc power output without intermediate conversion into ac
    • H02M3/04Conversion of dc power input into dc power output without intermediate conversion into ac by static converters
    • H02M3/10Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode
    • H02M3/145Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal
    • H02M3/155Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/156Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators
    • H02M3/157Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators with digital control

Definitions

  • This invention relates to a digital device and a method of controlling supply voltage in low-power portable devices.
  • SMPS Analog controlled switch-mode power supplies
  • DC-DC direct-current to direct-current
  • the task of the controller is to monitor the output voltage and provide appropriate low-power pulse-width modulated control signals for the switching converter, which efficiently processes power.
  • switching frequency f sw switching frequency
  • the analog controllers are fast and have low-power consumption but also suffer from many problems. They generally require a long and tedious design process and often need to be completely redesigned each time IC implementation technology changes, and as such are not flexible and unsuitable for the integration with fast changing digital hardware on which the majority of portable devices is based. In addition, the analog controllers are sensitive to temperature changes, variations in manufacturing process, and aging.
  • Digital control of low-power switch SMPS can result in significant improvements of system characteristics. It offers advantages such as simple introduction of advanced control laws and power management techniques, use of automated design tools that enable faster development and implementation, low sensitivity to external influences and parameter variations, realization with a small number of external passive components, and design portability, which allows simple transition from one to another, more advanced, IC implementation technology. All of these are highly desirable features in modern portable applications that need to be implemented with a miniature power-efficient hardware.
  • the digital hardware also shows lower susceptibility to external influences, such as change of temperature or aging.
  • the operation of a digital system usually remains unchanged in all working conditions.
  • the digital control also allows simple implementation of power savings (management) techniques based on voltage scaling that result in significant extension of the battery life.
  • power savings management
  • the supply voltage of the device is changed in accordance with its processing load.
  • digital hardware these techniques can be implemented without a significant increase in system complexity. It can be done through simple communication with a digital microprocessor, which is a standard part of most modern portable devices.
  • Implementation of the power savings techniques with analog hardware is a complex task. It requires additional hardware, and could increase power consumption and the size of the device.
  • the inferior performance of digitally controlled SMPS in low power applications is mainly caused by slow and power inefficient operation of basic functional blocks, digital pulse-width modulator, compensator and analog-to-digital controller.
  • Recent products and publications demonstrate digital controllers with improved performance. They allow introduction of digitally controlled SMPS in larger portable systems, such as laptop computers, and camcorders, but are still not suitable for smaller portable devices. For the targeted applications, they still have high power consumption and operate at relatively low switching frequencies. The maximum frequency of these solutions is between 400 kHz and 1 MHz, significantly lower than the switching frequency of readily available analog controllers that operate at frequencies up to 5 MHz (References 5-6 below).
  • the known digital controllers will also not be able to operate with upcoming SMPS that, in near future, are expected to operate at switching frequencies significantly higher than 10 MHz.
  • the present invention advances the art and helps overcome the aforementioned problems by providing a fast, low-power digital SMPS controller that can operate at programmable switching frequencies from 1 to 12 MHz.
  • the solution can also be easily modified to operate at even higher frequencies. Simulations show that it can operate at about 120 MHz with optimization, and a DPWM was constructed that operates at 60 MHz.
  • the new controller can produce pulse-width modulated signals at frequencies up to 60 MHz with high 9-bit resolution. If on chip implementation is selected, the frequency range can easily be further expanded.
  • the present invention can be implemented with digital logic gates only, or with a combination of digital gates and a minimal number of very simple analog components. In the latter case, the analog components can be used for the further power and size reduction, and can be developed in a small fraction of time needed for conventional analog designs.
  • One aspect of the present invention is a novel digital controller for low-power DC-DC switch mode power supplies (SMPS) suitable for on-chip implementation and use in portable battery-powered systems.
  • the controller allows operation at ultra high constant switching frequencies and can be implemented with simple low-power digital hardware.
  • DPWM digital pulse width modulator
  • ⁇ - ⁇ multi-bit sigma-delta
  • the output voltage is either sampled at a frequency lower than the switching frequency (undersampled) or sampled at the switching rate. In steady-state, undersampling results in reduced power consumption, while during transients, sampling at the switching rate provides fast transient response.
  • Another aspect of the present invention is a dual sampling/clocking scheme, which is relied on by the DPWM described, but also has application beyond the particular DPWM described. Accordingly, another aspect of the invention is a device and method for controlling a dual sampling/clocking mode.
  • Yet another aspect of the present invention is a method for digital control of SMPS that enables power efficient operation at constant switching frequencies significantly higher than 10 MHz.
  • FIG. 1 is a block diagram of a buck converter regulated with the digital controller of the present invention.
  • FIG. 2 is a block diagram of the digital pulse-with width modulator (DPWM) of the present invention based on multi-bit second-order sigma delta conversion.
  • DPWM digital pulse-with width modulator
  • FIG. 3 shows a detailed implementation of the multi-bit second-order sigma delta DPWM.
  • FIG. 4 a shows undesirable low-frequency tones in the output voltage of an experimental switching converter, for the case when a first-order multi-bit sigma-delta DPWM is used.
  • Ch1 the ac component of converter output voltage (scale 100 mV/div);
  • Ch2 pulse width modulated signal.
  • FIG. 4 b demonstrates how the second-order multi-bit sigma delta DPWM eliminates the noise problems in the experimental system.
  • Ch1 the ac component of converter output voltage (scale 100 mV/div);
  • Ch2 pulse width modulated signal (c(t) of FIG. 1 ).
  • FIG. 5 demonstrates a digital implementation of a programmable delay cell of the present DPWM.
  • FIG. 6 depicts an analog implementation of a programmable delay cell of the present DPWM.
  • FIG. 7 shows a frequency regulation block that allows frequency synchronization of with an external clock signal.
  • FIG. 8 is a block diagram illustrating the dual sampling/clocking mode of the digital controller of the present invention.
  • FIG. 9 shows a flexible dual-mode look-up table based digital compensator.
  • FIG. 10 illustrates an experimental pulse-width modulated waveforms at 60 MHz produced by ⁇ - ⁇ digital pulse width modulator.
  • FIG. 11 shows the simulation results: pulse-width modulated waveforms at 115 MHz produced by the ⁇ - ⁇ DPWM IC.
  • C and c are the outputs of the dead-time circuit ( FIG. 4 );
  • Duty 6 to 9 are 4 most significant bits of the 10-bit input control value d[n].
  • Ch.1 Output voltage v out (t) (500 mV/div)
  • Ch.2 Pulse width modulated control signal c(t) and time scale is 200 ns/div.
  • Ch.1 Pulse-width modulated control signal c(t) and time scale is 100 ns/div
  • Ch.1 Output voltage v out (t) (200 mV/div).
  • FIG. 14 illustrates transient response for the load change between 0.1 and 1 A
  • Ch.1 Output voltage v out (t) (50 mV/div-ac scale)
  • Ch. 2 load transient
  • Time scale is 100 ⁇ s/div.
  • FIG. 1 shows a block diagram of a DC-DC (direct-current to direct-current) buck switching converter regulated by the controller of the present invention.
  • the controller combines the novel DPWM of the present invention that is in part based on the multi-bit sigma-delta principle used in A/D and D/A conversions, and on a novel dual-sampling/clocking control scheme to achieve high switching frequency and low power consumption using a simple hardware.
  • the ratio of the transistor “on time” and the whole switching period, D t on /Ts, is defined as duty ratio.
  • the pulsating waveform at the output of the transistor is passed to the output LC filter, to eliminate high-frequency component and obtain DC voltage required by the load.
  • the regulation of the output voltage v out (t) is performed as follows.
  • Analog-to-digital converter (A/D) transforms attenuated analog output voltage Hv out (t) into its digital equivalent Hv out [n].
  • the attenuation is usually performed to reduce the output voltage to the appropriate value for the A/D. In some cases, when the regulated output voltage is low enough, a direct connection to the input of the A/D is possible.
  • the digital equivalent is then compared with a desired reference value V ref [n] forming an error signal e[n], which is passed to the digital dual-mode compensator and mode control circuit.
  • the compensator processes error signal and creates digital control signal d[n], which is the input for the 2 nd order multi-bit DPWM.
  • the DPWM transfers the control value into pulse-width modulated signal c(t) which duty ratio is proportional to d[n].
  • the control value d[n] depends not only on the error signal e[n] but also on the pervious error and control values e[n ⁇ 1], e[n ⁇ 2], and d[n ⁇ 1], respectively.
  • the compensator reduces d[n] resulting in a decrease of the output.
  • the output voltage is lower a reverse action is performed.
  • the controller and switching converter are connected through a gate driver that amplifies low power controller signal to the level appropriate for the power transistor.
  • the digital pulse-width modulator In low-power DC-DC SMPS the digital pulse-width modulator (DPWM) needs to provide not only high frequency signals using minimal amount of power but also should be able to precisely regulate the signal's duty ratio value (i.e. should have high resolution). For the reasons explained in References 8, 9 listed below, the high resolution of the DPWM is necessary for accurate output voltage regulation and operation of the power supply without undesirable “limit-cycle” oscillations at the output.
  • digital dither can be introduced, in accordance with the prior art.
  • this implementation requires use of relatively large look-up tables, and requires a relatively long averaging sequence for significant improvement of the effective DPWM resolution.
  • this implementation introduces large low frequency oscillations at the output and as such has proven to be impractical for low power applications
  • sigma-delta ( ⁇ - ⁇ ) modulators are used as parts of power digital-to-analog converters, switch-mode amplifiers (as explained in Reference 10) or SMPS (as particularized in Reference 11).
  • a single bit sigma-delta modulator is usually used. It produces a set of digital ones and zeros in which the average value, over a large number of cycles, is equal to the desired high-resolution input value.
  • This single-bit approach is generally not suitable for low power SMPS.
  • the signal sequence produced by a single-bit ⁇ - ⁇ modulator has a variable frequency that can interfere with noise-sensitive battery powered devices.
  • variable frequency causes higher current stress on the switching converter, introduces additional losses, and requires significant over design of the expensive power stage.
  • the solutions that combine a lower resolution multi-bit DPWM (core DPWM) and first-order ⁇ - ⁇ to achieve constant switching frequency only partially eliminate the noise problem and usually result in a minor improvement of the effective resolution.
  • the 1 st order ⁇ - ⁇ introduces tones (undesirable low frequency signals) (as described in Reference 12 below) the amplitude of which is undesirably large when the resolution of the core DPWM is low.
  • the compensator is slowed down and dynamic (speed) of the control loop is usually compromised.
  • the novel DPWM architecture which we introduce here, is shown in FIGS. 2 and 3 . It eliminates need for a power dissipative high-frequency high-resolution DPWM and consequently allows power efficient operation at high switching frequencies.
  • the 2 nd order ⁇ - ⁇ DPWM of the present invention in a particular embodiment of the present invention, includes a high-frequency low-resolution DPWM, which is referred to as core DPWM, a delay line (preferably a set of D-latch-based delay cells shown in FIG. 5 or analog cells shown in FIG. 6 ), and two adders.
  • the effective resolution of the ⁇ - ⁇ DPWM is 8 bits and the low-resolution DPWM is a 3-bit unit. It should be noted that the configuration of FIGS. 2 and 3 is used for illustration purposes. Designs with a larger or smaller number of bits of the input value and different resolution of the core DPWM are also possible and realizable.
  • the system is synchronized with the clock signal at a programmable switching frequency, which is produced by the low-resolution core DPWM.
  • FIG. 3 shows a low-resolution programmable frequency DPWM implementation in accordance with the present invention that employs a modification of previously known ring-oscillator architecture (for example as described in Reference 3).
  • ring-oscillator architecture for example as described in Reference 3
  • the system does not require any external clock, if such a feature is desired, its switching frequency can be synchronized with an external signal, as shown in FIG. 1 .
  • the low-resolution DPWM of the present invention is operable to vary duty ratio of pulse-width modulated signal c(t) between eight possible discrete values (in this example): 0, 0.125, 0.25, 0.375, 0.5, 0.675, 0.75, and 0.875.
  • each sigma-delta behaves as an internal feedback loop that forces the sequence of low-resolution values at the output to have the same average value as a high resolution input.
  • the low resolution output is the control signal for the 3-bit core DPWM and the input is d[n] allowing all-digital realization of the 2 nd order ⁇ - ⁇ loop, as demonstrated in FIG. 3 .
  • the required processing can be performed with simple hardware. Delay blocks are implemented with two sets of D flip-flop registers and the multiplication by 2 is performed through arithmetic shifting avoiding the use of power and area hungry multipliers. Consequently, the complete ⁇ - ⁇ loop can be implemented with miniature, power efficient hardware.
  • Table 1 shows sequences of logic states of the multi-bit ⁇ - ⁇ DPWM shown in FIG. 3 and of a first order multi-bit ⁇ - ⁇ DPWM, presented in previous art. The states are observed over 24 switching cycles, and the same high resolution, arbitrary selected input d[n] is assumed. It is also assumed that the both modulators utilize a 3-bit low-resolution core DPWM.
  • the periodic sequence which in this case is characterized with seven successive 0.25 values followed by 0.375, represents a tone, whose frequency, for some inputs, can be lower than the output LC filter corner frequency.
  • undesirable oscillations at the tone frequency are unsuppressed and can occur at the converter output, as shown in the diagram of FIG. 4 a , obtained with an experimental utilizing the first order multi-bit ⁇ - ⁇ DPWM.
  • FIG. 3 shows a 3-bit core DPWM with programmable frequency based on a ring oscillator. It consists of an 8-to-1 multiplexer (2 Ntr -to-1 in general, where N tr is the resolution of core DPWM), a delay line comprising eight delay cells with programmable delay times (in general 2 Nt cells), and an S-R latch.
  • Ntr the resolution of core DPWM
  • N tr is the resolution of core DPWM
  • the frequency of c(t), i.e. the switching frequency, is determined by the total delay of all 8 cells of the ring oscillator.
  • the frequency can be changed using either analog or digital delay cells shown hereafter.
  • the representative programmable digital delay cell of FIG. 5 produces sixteen discrete time delays, which value depends on the 4-bit frequency control value f[ 3 : 0 ]. It comprises sixteen positive edge triggered D flip-flops and two 4-to-1 multiplexers. As shown in FIG. 5 , twelve D flip-flops are connected to one multiplexer, four between each two multiplexer inputs (i.e. taps), and the remaining four D flip-flops are connected to the other multiplexer, one in between each two taps.
  • the propagation time of a signal from the input of the delay cell i to its output Ou depends on the number on the internal delays and number of D flip-flops signal propagates through before it reaches the multiplexers' taps selected by f[ 3 : 0 ].
  • the signal starts propagating through set of larger delay blocks formed of 4 flip-flops. Then, when it reaches first multiplexer tap, selected by two most significant bits of control word f[ 3 : 2 ], it moves through the multiplexer and continues propagating through the next set of single D flip-flop delay blocks. Finally, when it reaches the tap of the second multiplexer selected by f[ 1 : 0 ] it moves to the output.
  • FIG. 6 shows an analog equivalent of a programmable digital delay cell that, in on-chip implementation could be used to further reduce the size and power consumption of the cell.
  • the cell comprises a modified current starved delay cell.
  • NMOS current mirroring transistor which creates delay by discharging equivalent capacitance seen at the node a, is replaced with a set of ten transistors. Five of them acting as logic switchers and another five are current mirror transistors biased with the same external circuit as shown in FIG. 6 .
  • single biasing circuit is shared among all eight delay cells.
  • the current mirror transistors are sized differently (W/L, W/L, 2 W/L, 4 W/L, and 8 W/L) resulting in different mirroring of bias current through them and consequently in different transition (delay) times.
  • the programmable delay time is achieved through frequency control input f [ 3 : 0 ] and switching transistors, by changing the number of current mirrored transistors operating in parallel during transition period, where the larger number of transistors corresponds to faster transition time.
  • FIG. 6 shows a novel frequency synchronization block that allows this feature. The synchronization is achieved by comparing a half of the external signal period with the delay time of one half of the delay cells of the core DPWM.
  • the system consists of a “Half+1 Cell” replica of the delay line, four edge-triggered D flip-flops, a combinatorial logic and a 4-bit register (accumulator).
  • the “Half+1 Cells” replica of the delay line has only five delay cells, identical to the eight cells of the DPWM.
  • the start signal is created and passed through the delay line replica, whose 4 th and 5 th cell (N/2 and N/2+1) are connected to two edge triggered flip-flops.
  • a strobe signal is created and a “snapshot” of the states of the two replica's delay cells is taken and processed with a simple digital logic.
  • Two zeroes at the outputs of the snapshot flip-flops indicate slower propagation (i.e. longer switching period) and produce 1 at the output of the digital logic.
  • the value of frequency control register f sw [ 3 : 0 ] increases and the switching frequency increases accordingly.
  • Two ones at the input of the digital logic indicate operation at a faster switching frequency, result in negative output, and cause a decrease of f sw . It is assumed that half periods of the DPWM and the external clock are equal when the input is 10 and the frequency register remains unchanged.
  • This section shows a controller suitable for the use with the previously described DPWM as well as with other low-power high-frequency configurations.
  • a block diagram of the controller is given in FIG. 8 . It utilizes a dual-sampling/clocking scheme, which results in a low power consumption and in fast response to transients in the system.
  • the controller operates as follows.
  • the attenuated output voltage of the switching converter Hv out (t) (see FIG. 1 ) is converted into its digital equivalent Hv out [n] using a windowed A/D and then compared to the reference value V ref [n].
  • the windowed A/D produces one of only seven possible discrete values of the errors signal e[n] (from ⁇ 3 to +3), based on which the mode of the controller operation is set.
  • the error is monitored with the hysteretic logic & clock divider block.
  • the system When the error is small, in the range of ⁇ 3 to +3, the system operates in steady-state mode with the clock (clk 1 of FIG. 5 ) lower than the switching frequency. In this mode a high resolution control value d s-s [n] is updated every sixth cycle allowing ⁇ - ⁇ DPWM to perform averaging. In this specific implementation, averaging is performed over eight switching periods, resulting in a high effective resolution of the pulse-width modulated signal. In addition, since the switching action of the controller is less frequent, and the undersampling minimizes power consumption.
  • the undersampling also minimizes the influence of all processing delays in the digital control loop, including A/D conversion time, processing time of the digital compensator and effective delay of the DPWM, resulting in improved system stability. This is because the phase shift that is proportional to the ratio of the delay and the sampling period is minimized when the sampling/updating period is increased.
  • a dynamic mode is introduced.
  • the controller enters into the dynamic mode when the hysteretic logic recognizes an absolute error larger than 3.
  • the control law of dual-mode look-up table (LUT) based compensator effectively eliminates the influence of the internal feedback of the ⁇ - ⁇ DPWM.
  • the fast DPWM inside the ⁇ - ⁇ DPWM is directly fed by most significant bits of the control input that corresponds to the low-resolution core DPWM input value d tr [n] (See FIGS. 2 and 3 ), which is updated every switching cycle.
  • the controller stays in the dynamic mode until the absolute value of the error drops bellow 1, and then it switches back to the steady-state mode. In steady-state again high resolution of the pulse width modulated signal that is necessary for operation without limit-cycle is ensured.
  • the controller When in the transient state, the controller operates much faster and burns more power, when in the steady state it runs slower and more efficiently. The idea is based that 99% of the time we operate in steady state mode and there is no need to burn the power all the time. Conventional solutions use a compromise, and create controllers that are not very slow but take much larger power than our controller.
  • the dual mode look-up table compensator shown in FIG. 9 combines flexibility of a conventional multiplier based implementation with low-power consumption of a conventional look-up table compensator shown in the previous art (Reference 3 below).
  • digital multipliers are used to compute control value d[n].
  • the computation is performed using current value of error signal e[n], previous error values e[n ⁇ 1], e[n ⁇ 2], . . . , e[n ⁇ k], and previous control output values d[n ⁇ 1], d[n ⁇ 2], . . . d[n ⁇ m].
  • d[n] ⁇ 1 d[n ⁇ 1]+ ⁇ 2 d[n ⁇ 2]+ . . . + ⁇ m d[n ⁇ m]+ ⁇ 1 e[n]+ ⁇ 2 e[n ⁇ 1]+ .
  • ⁇ i and ⁇ j are compensator coefficients that shape dynamic performance of the system.
  • the calculation of this type generally requires the use of multipliers, which generally take a large on-chip area and have high power consumption. In low power devices, the amount of power taken by the multipliers is usually intolerably high even when algorithms with minimal number of multiplications are performed, making them unsuitable for the applications of interest.
  • FIG. 9 shows a dual mode look up table with multiple entries for illustration of specific control values.
  • the table can be separated in three main parts named slow, common, and fast.
  • the entries that correspond to the small values of the error signal and operation in steady-state mode are stored in the slow portion and the values for operation in the dynamic mode are kept in the fast portion of the table.
  • the common part has two sets of registers and the outputs of the table depend on the mode of operation, selected by mode control bit.
  • This implementation allows flexibility of the regulator with a minimal increase in the system size and complexity. It should be noted that efficient the implementation of this system with two sets of completely independent look up tables could be possible. However, this implementation would be significantly less efficient in terms of the system power consumption and the on-chip area occupied by the compensator.
  • the operation of the present invention is verified with two realizations utilizing different implementation technologies.
  • an all-digital prototype using a low-price FPGA development board was built.
  • an application specific integrated circuit (ASIC) was built.
  • the ASIC utilizes analog delay cells shown in FIG. 6 .
  • a DPWM based on the block-diagrams of FIGS. 2 and 3 was constructed and pulse width modulated waveforms are measured.
  • FIG. 10 shows pulse-width modulated waveforms captured with a logic analyzer, when the control command d[n] (see FIG. 2 ) was changing between two 8-bit values. It can be seen that this FPGA implementation of the new DPWM allows operation at a constant frequency up to 60 MHz, which is in order of magnitude faster than any other known constant frequency all-digital solution.
  • the delay cells of the low-resolution DPWM were constructed of FPGA' D-flip-flops, for which the typical propagation delay is 2.5 ns.
  • the buck converter is designed to operate with input voltage that varies from 4 to 10 V, at regulated output of 3.3 V, and to supply up to 1 A of the current at its output.
  • the results of closed loop operation in steady-state mode are shown in FIG. 12 . It can be seen how the ⁇ - ⁇ DPWM controller varies the duty ratio (i.e. t on times) over successive switching periods to maintain well-regulated output voltage.
  • FIG. 13 shows experimental results with a smaller 300 mW switching converter operating at ultra high switching frequency of 12 MHz.
  • regulated output voltage is set to 1.2 V and input voltage is 3.3V.
  • Results of the measurement of the load transient response for the output load changes between 0.1 A and 1 A are shown in FIG. 14 .
  • the dynamic mode was activated with the high value of the control signal mode (see FIG. 8 ) and the controller quickly reduced overshoot caused by the load change.
  • the mode signal returned to zero value, and controller returned to steady-state mode characterized with improved voltage regulation. It can be seen that dual sampling technique results both in good output voltage regulation and fast dynamic response.
  • This document describes a digital controller for low-power DC-DC converters operating at ultra high constant switching frequencies.
  • a novel architecture for a digital pulse-width modulator (DPWM) based on multi-bit 2 nd order sigma-delta conversion (2 nd order ⁇ - ⁇ DPWM) is introduced.
  • the 2 nd order ⁇ - ⁇ DPWM architecture is especially suitable for on-chip implementation. It allows creation of high-resolution high-frequency pulse-width modulated signals, and can be implemented with miniature low-power hardware.
  • the invention also presents a new dual sampling/clocking mode control scheme that allows further reduction in power consumption of digital controller without penalties in the controller dynamic performance.
  • Experimental FPGA-based implementation verifies advantages of the new architecture. Pulse width modulated signals at frequency of 60 MHz are produced and closed loop operation of DC-DC converter operating at 2 MHz is demonstrated.

Abstract

A digital controller for low-power DC-DC switch mode power supplies (SMPS) suitable for on-chip implementation and use in portable battery-powered systems is provided. The digital controller allows operation at ultra high constant switching frequencies and can be implemented with a simple low-power digital hardware. The digital controller includes a digital pulse width modulator (DPWM), based on a multibit 2nd orders sigma-delta (Σ-Δ) principle, and a dual-sampling mode PID compensator. The output voltage is either sampled at a frequency lower than the switching frequency (undersampled) or sampled at the switching rate. In steady-state, undersampling results in reduced power consumption, while during transients, sampling at the switching rate provides fast transient response. Another aspect of the present invention is a dual sampling/clocking scheme, which is relied on by the DPWM described. A method is also provided for controlling low power DC-DC switch mode power supplies operating at high constant switching frequencies.

Description

FIELD OF THE INVENTION
This invention relates to a digital device and a method of controlling supply voltage in low-power portable devices.
BACKGROUND OF THE INVENTION
Analog controlled switch-mode power supplies (SMPS) are used in low power devices such as cell phones, portable data assistants, and MP3 players, to transfer variable supply (i.e. battery) voltage to a constant output value. The regulation is performed through the interaction of an analog controller and direct-current to direct-current (DC-DC) switching power converter. The task of the controller is to monitor the output voltage and provide appropriate low-power pulse-width modulated control signals for the switching converter, which efficiently processes power. To allow small weight and size of the overall system, it is usually desirable that the controller produces signals at a high constant frequency (switching frequency fsw) that does not interfere with the proper operation of the supplied device. In the existing portable devices dedicated analog integrated circuits (IC-s) are generally used to perform the control task. The analog controllers are fast and have low-power consumption but also suffer from many problems. They generally require a long and tedious design process and often need to be completely redesigned each time IC implementation technology changes, and as such are not flexible and unsuitable for the integration with fast changing digital hardware on which the majority of portable devices is based. In addition, the analog controllers are sensitive to temperature changes, variations in manufacturing process, and aging.
Digital control of low-power switch SMPS can result in significant improvements of system characteristics. It offers advantages such as simple introduction of advanced control laws and power management techniques, use of automated design tools that enable faster development and implementation, low sensitivity to external influences and parameter variations, realization with a small number of external passive components, and design portability, which allows simple transition from one to another, more advanced, IC implementation technology. All of these are highly desirable features in modern portable applications that need to be implemented with a miniature power-efficient hardware.
Modern tools for automatic digital design allow fast development process and simple transfer of designs from one implementation technology to another. In addition, the digital implementation benefits from superior flexibility. The same hardware can perform many different functions and existing designs can be easily altered to better suit other applications.
The digital hardware also shows lower susceptibility to external influences, such as change of temperature or aging. The operation of a digital system usually remains unchanged in all working conditions.
Moreover, the digital control also allows simple implementation of power savings (management) techniques based on voltage scaling that result in significant extension of the battery life. In those techniques, to allow minimal power consumption, the supply voltage of the device is changed in accordance with its processing load. Using digital hardware, these techniques can be implemented without a significant increase in system complexity. It can be done through simple communication with a digital microprocessor, which is a standard part of most modern portable devices. Implementation of the power savings techniques with analog hardware is a complex task. It requires additional hardware, and could increase power consumption and the size of the device.
Although the abovementioned advantages of the digital control are known, in low-power applications, analog pulse-width modulated (PWM) controllers are almost exclusively used. The main reasons for the sporadic use of digital controllers is their power consumption and much lower switching frequency compared to their analog counterparts. Power consumption of digital hardware is proportional to the product of switching frequency and size of the hardware (on-chip area) and in the existing solutions often exceeds the power consumed by the output load. As a result, overall efficiency of digitally controlled SMPS is poor. The lower switching frequency generally results in a larger, heavier, and more expensive power stage that can negate some or all of the abovementioned advantages of digital control.
The inferior performance of digitally controlled SMPS in low power applications is mainly caused by slow and power inefficient operation of basic functional blocks, digital pulse-width modulator, compensator and analog-to-digital controller. Recent products and publications (References 1-4 below) demonstrate digital controllers with improved performance. They allow introduction of digitally controlled SMPS in larger portable systems, such as laptop computers, and camcorders, but are still not suitable for smaller portable devices. For the targeted applications, they still have high power consumption and operate at relatively low switching frequencies. The maximum frequency of these solutions is between 400 kHz and 1 MHz, significantly lower than the switching frequency of readily available analog controllers that operate at frequencies up to 5 MHz (References 5-6 below). The known digital controllers will also not be able to operate with upcoming SMPS that, in near future, are expected to operate at switching frequencies significantly higher than 10 MHz.
Therefore what is needed is a device and method of digital control of low-power SMPS having low power consumption and being able to operate at switching frequencies even beyond 10 MHz (ultra-high switching frequency).
SUMMARY OF THE INVENTION
The present invention advances the art and helps overcome the aforementioned problems by providing a fast, low-power digital SMPS controller that can operate at programmable switching frequencies from 1 to 12 MHz. The solution can also be easily modified to operate at even higher frequencies. Simulations show that it can operate at about 120 MHz with optimization, and a DPWM was constructed that operates at 60 MHz. Implemented with the commonly used FPGA systems 1, the new controller can produce pulse-width modulated signals at frequencies up to 60 MHz with high 9-bit resolution. If on chip implementation is selected, the frequency range can easily be further expanded. The present invention can be implemented with digital logic gates only, or with a combination of digital gates and a minimal number of very simple analog components. In the latter case, the analog components can be used for the further power and size reduction, and can be developed in a small fraction of time needed for conventional analog designs.
One aspect of the present invention is a novel digital controller for low-power DC-DC switch mode power supplies (SMPS) suitable for on-chip implementation and use in portable battery-powered systems. The controller allows operation at ultra high constant switching frequencies and can be implemented with simple low-power digital hardware. These benefits are achieved by combining a newly designed digital pulse width modulator (DPWM), based on the second-order multi-bit sigma-delta (Σ-Δ) principle, with a dual-sampling mode PID compensator. The output voltage is either sampled at a frequency lower than the switching frequency (undersampled) or sampled at the switching rate. In steady-state, undersampling results in reduced power consumption, while during transients, sampling at the switching rate provides fast transient response.
Another aspect of the present invention is a dual sampling/clocking scheme, which is relied on by the DPWM described, but also has application beyond the particular DPWM described. Accordingly, another aspect of the invention is a device and method for controlling a dual sampling/clocking mode.
Yet another aspect of the present invention is a method for digital control of SMPS that enables power efficient operation at constant switching frequencies significantly higher than 10 MHz.
BRIEF DESCRIPTION OF THE DRAWINGS
A detailed description of the preferred embodiments is provided herein below by way of example only and with reference to the following drawings, in which:
FIG. 1 is a block diagram of a buck converter regulated with the digital controller of the present invention.
FIG. 2 is a block diagram of the digital pulse-with width modulator (DPWM) of the present invention based on multi-bit second-order sigma delta conversion.
FIG. 3 shows a detailed implementation of the multi-bit second-order sigma delta DPWM.
FIG. 4 a shows undesirable low-frequency tones in the output voltage of an experimental switching converter, for the case when a first-order multi-bit sigma-delta DPWM is used. Ch1: the ac component of converter output voltage (scale 100 mV/div); Ch2: pulse width modulated signal.
FIG. 4 b demonstrates how the second-order multi-bit sigma delta DPWM eliminates the noise problems in the experimental system. Ch1: the ac component of converter output voltage (scale 100 mV/div); Ch2: pulse width modulated signal (c(t) of FIG. 1).
FIG. 5 demonstrates a digital implementation of a programmable delay cell of the present DPWM.
FIG. 6 depicts an analog implementation of a programmable delay cell of the present DPWM.
FIG. 7 shows a frequency regulation block that allows frequency synchronization of with an external clock signal.
FIG. 8 is a block diagram illustrating the dual sampling/clocking mode of the digital controller of the present invention.
FIG. 9 shows a flexible dual-mode look-up table based digital compensator.
FIG. 10 illustrates an experimental pulse-width modulated waveforms at 60 MHz produced by Σ-Δ digital pulse width modulator.
FIG. 11 shows the simulation results: pulse-width modulated waveforms at 115 MHz produced by the Σ-Δ DPWM IC. C and c are the outputs of the dead-time circuit (FIG. 4); Duty 6 to 9 are 4 most significant bits of the 10-bit input control value d[n].
FIG. 12 illustrates the steady state operation of the digital controller of the present invention at 2.06 MHz switching frequency for Vin=8 V. Ch.1: Output voltage vout(t) (500 mV/div), Ch.2: Pulse width modulated control signal c(t) and time scale is 200 ns/div.
FIG. 13 illustrates the steady state operation of the digital controller of the present invention at 12 MHz switching frequency, Vin=3.3 V. Ch.1: Pulse-width modulated control signal c(t) and time scale is 100 ns/div Ch.1: Output voltage vout(t) (200 mV/div).
FIG. 14 illustrates transient response for the load change between 0.1 and 1 A, Ch.1: Output voltage vout(t) (50 mV/div-ac scale), Ch. 2: load transient, and Time scale is 100 μs/div.
DETAILED DESCRIPTION
FIG. 1 shows a block diagram of a DC-DC (direct-current to direct-current) buck switching converter regulated by the controller of the present invention. The controller combines the novel DPWM of the present invention that is in part based on the multi-bit sigma-delta principle used in A/D and D/A conversions, and on a novel dual-sampling/clocking control scheme to achieve high switching frequency and low power consumption using a simple hardware.
The representative buck converter of FIG. 1 transforms the input voltage to a lower output value by switching transistors M at constant switching rate fsw=1/Tsw, and by changing the portion of the switching period during which the transistor is in on state. The ratio of the transistor “on time” and the whole switching period, D=ton/Ts, is defined as duty ratio. The pulsating waveform at the output of the transistor is passed to the output LC filter, to eliminate high-frequency component and obtain DC voltage required by the load.
The regulation of the output voltage vout(t) is performed as follows. Analog-to-digital converter (A/D) transforms attenuated analog output voltage Hvout(t) into its digital equivalent Hvout[n]. The attenuation is usually performed to reduce the output voltage to the appropriate value for the A/D. In some cases, when the regulated output voltage is low enough, a direct connection to the input of the A/D is possible. The digital equivalent is then compared with a desired reference value Vref[n] forming an error signal e[n], which is passed to the digital dual-mode compensator and mode control circuit. The compensator processes error signal and creates digital control signal d[n], which is the input for the 2nd order multi-bit DPWM. The DPWM transfers the control value into pulse-width modulated signal c(t) which duty ratio is proportional to d[n].
The control value d[n] depends not only on the error signal e[n] but also on the pervious error and control values e[n−1], e[n−2], and d[n−1], respectively. In general, when the error signal is negative, meaning the output voltage is higher than the reference, the compensator reduces d[n] resulting in a decrease of the output. When the output voltage is lower a reverse action is performed.
Preferably, the controller and switching converter are connected through a gate driver that amplifies low power controller signal to the level appropriate for the power transistor.
Low-Power Ultra-High Frequency Digital Pulse-Width Modulator Based on 2nd-Order Multi-Bit Sigma-Delta Principle
In low-power DC-DC SMPS the digital pulse-width modulator (DPWM) needs to provide not only high frequency signals using minimal amount of power but also should be able to precisely regulate the signal's duty ratio value (i.e. should have high resolution). For the reasons explained in References 8, 9 listed below, the high resolution of the DPWM is necessary for accurate output voltage regulation and operation of the power supply without undesirable “limit-cycle” oscillations at the output.
Design of a high-resolution high-frequency DPWM has proven to be a challenging task (as illustrated in Reference 10 below). Prior art solutions present various architectures that make design tradeoffs between on-chip area and power consumption, or between switching frequency and the resolution of the DPWM. Conventional designs using a counter require a clock signal at a frequency that is in most applications significantly higher than the switching frequency and hence generally exhibit high power dissipation when both high frequency and high resolution are required. Designs that include a ring oscillator (delay cells) and a multiplexer have substantially lower power consumption but generally require a large on-chip area (i.e. large multiplexers) for the creation of high-resolution signals.
Recently presented architectures, such as hybrid, delay-locked loop, and segmented delay-line either combine the two previous concepts or operate with a different arrangement of the delay cells. These solutions demonstrate high-resolution operation (8-10 bits) at frequencies up to 1 MHz and operation with a decreased resolution at higher frequencies. The resolution and maximum frequency of these solutions are limited by the propagation time, i.e. time step, of a delay cell and the number of cells included in the ring.
To improve effective resolution of the DPWM, in accordance with one particular embodiment of the invention, digital dither can be introduced, in accordance with the prior art. However, this implementation requires use of relatively large look-up tables, and requires a relatively long averaging sequence for significant improvement of the effective DPWM resolution. In addition, this implementation introduces large low frequency oscillations at the output and as such has proven to be impractical for low power applications
In several other solutions sigma-delta (Σ-Δ) modulators are used as parts of power digital-to-analog converters, switch-mode amplifiers (as explained in Reference 10) or SMPS (as particularized in Reference 11). In the power amplifiers and digital-to-analog converters, a single bit sigma-delta modulator is usually used. It produces a set of digital ones and zeros in which the average value, over a large number of cycles, is equal to the desired high-resolution input value. This single-bit approach is generally not suitable for low power SMPS. The signal sequence produced by a single-bit Σ-Δ modulator has a variable frequency that can interfere with noise-sensitive battery powered devices. In addition, the variable frequency causes higher current stress on the switching converter, introduces additional losses, and requires significant over design of the expensive power stage. The solutions that combine a lower resolution multi-bit DPWM (core DPWM) and first-order Σ-Δ to achieve constant switching frequency (as shown in Reference 11 below) only partially eliminate the noise problem and usually result in a minor improvement of the effective resolution. In these solutions the 1st order Σ-Δ introduces tones (undesirable low frequency signals) (as described in Reference 12 below) the amplitude of which is undesirably large when the resolution of the core DPWM is low. Moreover, in these solutions, to perform averaging, the compensator is slowed down and dynamic (speed) of the control loop is usually compromised.
2nd Order (Σ-Δ) Multi-Bit Digital Pulse-Width Modulator Architecture
The novel DPWM architecture, which we introduce here, is shown in FIGS. 2 and 3. It eliminates need for a power dissipative high-frequency high-resolution DPWM and consequently allows power efficient operation at high switching frequencies. The 2nd order Σ-Δ DPWM of the present invention, in a particular embodiment of the present invention, includes a high-frequency low-resolution DPWM, which is referred to as core DPWM, a delay line (preferably a set of D-latch-based delay cells shown in FIG. 5 or analog cells shown in FIG. 6), and two adders.
In the specific implementation of the present invention, illustrated in FIGS. 2 and 3, the effective resolution of the Σ-Δ DPWM is 8 bits and the low-resolution DPWM is a 3-bit unit. It should be noted that the configuration of FIGS. 2 and 3 is used for illustration purposes. Designs with a larger or smaller number of bits of the input value and different resolution of the core DPWM are also possible and realizable. The system is synchronized with the clock signal at a programmable switching frequency, which is produced by the low-resolution core DPWM.
FIG. 3 shows a low-resolution programmable frequency DPWM implementation in accordance with the present invention that employs a modification of previously known ring-oscillator architecture (for example as described in Reference 3). Again, although the system does not require any external clock, if such a feature is desired, its switching frequency can be synchronized with an external signal, as shown in FIG. 1.
The low-resolution DPWM of the present invention is operable to vary duty ratio of pulse-width modulated signal c(t) between eight possible discrete values (in this example): 0, 0.125, 0.25, 0.375, 0.5, 0.675, 0.75, and 0.875.
The variations are performed over several switching periods to result in an average duty ratio value, which is equal to high resolution digital control command d[n], which in this case is an 8-bit value (see FIGS. 2 and 3). Fast convergence toward the high-resolution value, i.e. short averaging period, is provided with the 2nd order Σ-Δ loop (as particularized in Reference 12). As explained in Reference 12, each sigma-delta behaves as an internal feedback loop that forces the sequence of low-resolution values at the output to have the same average value as a high resolution input. In this specific realization, the low resolution output is the control signal for the 3-bit core DPWM and the input is d[n] allowing all-digital realization of the 2nd order Σ-Δ loop, as demonstrated in FIG. 3. The required processing can be performed with simple hardware. Delay blocks are implemented with two sets of D flip-flop registers and the multiplication by 2 is performed through arithmetic shifting avoiding the use of power and area hungry multipliers. Consequently, the complete Σ-Δ loop can be implemented with miniature, power efficient hardware.
The averaging is performed by the switching converter itself, i.e. the output LC filter, whose corner frequency fc=1/(2π√LC) is significantly lower than fav=1/Tav, where Tav is the averaging period.
The advantages of the present 2nd order Σ-Δ DPWM over previously proposed 1st order implementations are demonstrated with Table 1 and experimental waveforms of FIGS. 4 a and 4 b. Table 1 shows sequences of logic states of the multi-bit Σ-Δ DPWM shown in FIG. 3 and of a first order multi-bit Σ-Δ DPWM, presented in previous art. The states are observed over 24 switching cycles, and the same high resolution, arbitrary selected input d[n] is assumed. It is also assumed that the both modulators utilize a 3-bit low-resolution core DPWM.
1st Order 2nd Order
Sigma-Delta DPWM Sigma-Delta DPWM
Duty ratio Average duty Duty ratio Average duty
n d[n] of c(t) ratio value of c(t) Ratio value
1 0.265625 0.0000 0.0000000 0.2500 0.2500000
2 0.265625 0.2500 0.1250000 0.2500 0.2500000
3 0.265625 0.2500 0.1666667 0.2500 0.2500000
4 0.265625 0.2500 0.1875000 0.3750 0.2812500
5 0.265625 0.2500 0.2000000 0.1250 0.2500000
6 0.265625 0.2500 0.2083333 0.3750 0.2708333
7 0.265625 0.2500 0.2142857 0.2500 0.2678571
8 0.265625 0.2500 0.2187500 0.2500 0.2656250
9 0.265625 0.3750 0.2361111 0.2500 0.2638889
10 0.265625 0.2500 0.2375000 0.2500 0.2625000
11 0.265625 0.2500 0.2386364 0.3750 0.2727273
12 0.265625 0.2500 0.2395833 0.1250 0.2604167
13 0.265625 0.2500 0.2403846 0.3750 0.2692308
14 0.265625 0.2500 0.2410714 0.2500 0.2678571
15 0.265625 0.2500 0.2416667 0.2500 0.2666667
16 0.265625 0.2500 0.2421875 0.2500 0.2656250
17 0.265625 0.3750 0.2500000 0.2500 0.2647059
18 0.265625 0.2500 0.2500000 0.2500 0.2638889
19 0.265625 0.2500 0.2500000 0.2500 0.2631579
20 0.265625 0.2500 0.2500000 0.3750 0.2687500
21 0.265625 0.2500 0.2500000 0.1250 0.2619048
22 0.265625 0.2500 0.2500000 0.3750 0.2670455
23 0.265625 0.2500 0.2500000 0.2500 0.2663043
24 0.265625 0.2500 0.2500000 0.2500 0.2656250
Comparing the sequences for 1st and 2nd order output signal we can see that that the first order output produces a periodic sequence at a frequency significantly lower than the switching frequency. The periodic sequence, which in this case is characterized with seven successive 0.25 values followed by 0.375, represents a tone, whose frequency, for some inputs, can be lower than the output LC filter corner frequency. As a result undesirable oscillations at the tone frequency are unsuppressed and can occur at the converter output, as shown in the diagram of FIG. 4 a, obtained with an experimental utilizing the first order multi-bit Σ-Δ DPWM.
In the case of 2nd order Σ-Δ DPWM, as table above shows, that the tones are pushed to higher frequencies (beyond LC coroner frequency) allowing noise elimination and normal operation of the power supply. The noise suppression effect of general second order Σ-Δ modulators is thoroughly described in textbooks (for example in Reference 12) and numerous research papers. The experimental results obtained when the first order Σ-Δ DPWM is replaced with the system of FIG. 3 are shown in FIG. 4.b. They confirm noise suppression and positive effect of the 2nd order Σ-Δ DPWM. The table above also shows that present 2nd order structure has much faster conversion toward the high-resolution input value (the average duty ratio reaches the high resolution input after 8 cycles only) thereby allowing faster dynamic response.
Programmable Ring-Oscillator Based DPWM
FIG. 3 shows a 3-bit core DPWM with programmable frequency based on a ring oscillator. It consists of an 8-to-1 multiplexer (2Ntr-to-1 in general, where Ntr is the resolution of core DPWM), a delay line comprising eight delay cells with programmable delay times (in general 2Nt cells), and an S-R latch. At the beginning of each switching cycle output SR latch is set, and the pulse propagates through the oscillator, from the cell connected to the zero input to the left, and the output signal c(t) goes high. When the pulse propagating through the oscillator reaches the input selected by the input word dtr[n], the output SR is reset and the output goes low. In this way pulse-width modulated signal is formed. The frequency of c(t), i.e. the switching frequency, is determined by the total delay of all 8 cells of the ring oscillator. In the present embodiment of the DPWM, the frequency can be changed using either analog or digital delay cells shown hereafter.
Programmable Digital Delay Cell
The representative programmable digital delay cell of FIG. 5 produces sixteen discrete time delays, which value depends on the 4-bit frequency control value f[3:0]. It comprises sixteen positive edge triggered D flip-flops and two 4-to-1 multiplexers. As shown in FIG. 5, twelve D flip-flops are connected to one multiplexer, four between each two multiplexer inputs (i.e. taps), and the remaining four D flip-flops are connected to the other multiplexer, one in between each two taps. The propagation time of a signal from the input of the delay cell i to its output Ou (time delay of the cell) depends on the number on the internal delays and number of D flip-flops signal propagates through before it reaches the multiplexers' taps selected by f[3:0]. The signal starts propagating through set of larger delay blocks formed of 4 flip-flops. Then, when it reaches first multiplexer tap, selected by two most significant bits of control word f[3:2], it moves through the multiplexer and continues propagating through the next set of single D flip-flop delay blocks. Finally, when it reaches the tap of the second multiplexer selected by f[1:0] it moves to the output.
It should be noted that an alternative implementation using just one 16-to-1 bit multiplexer and a single D flip-flop in between each two taps is also possible. However, the realization of a 16-to-1 multiplexer would require five 4-to-1 multiplexers resulting in much larger on-chip area than the proposed solution.
Programmable Analog Delay Cell
FIG. 6 shows an analog equivalent of a programmable digital delay cell that, in on-chip implementation could be used to further reduce the size and power consumption of the cell. The cell comprises a modified current starved delay cell. In this case, NMOS current mirroring transistor, which creates delay by discharging equivalent capacitance seen at the node a, is replaced with a set of ten transistors. Five of them acting as logic switchers and another five are current mirror transistors biased with the same external circuit as shown in FIG. 6. In this implementation, single biasing circuit is shared among all eight delay cells. The current mirror transistors are sized differently (W/L, W/L, 2W/L, 4W/L, and 8W/L) resulting in different mirroring of bias current through them and consequently in different transition (delay) times. The programmable delay time is achieved through frequency control input f [3:0] and switching transistors, by changing the number of current mirrored transistors operating in parallel during transition period, where the larger number of transistors corresponds to faster transition time.
Frequency Synchronization Block
In some applications, it is desirable to have the switching frequency of the SMPS synchronized with an external clock. FIG. 6 shows a novel frequency synchronization block that allows this feature. The synchronization is achieved by comparing a half of the external signal period with the delay time of one half of the delay cells of the core DPWM.
The system consists of a “Half+1 Cell” replica of the delay line, four edge-triggered D flip-flops, a combinatorial logic and a 4-bit register (accumulator). For the case when a 3-bit ring based core DPWM is used, the “Half+1 Cells” replica of the delay line has only five delay cells, identical to the eight cells of the DPWM. At a rising edge of an external clock, the start signal is created and passed through the delay line replica, whose 4th and 5th cell (N/2 and N/2+1) are connected to two edge triggered flip-flops. Then, at the immediately following negative edge, a strobe signal is created and a “snapshot” of the states of the two replica's delay cells is taken and processed with a simple digital logic. Two zeroes at the outputs of the snapshot flip-flops indicate slower propagation (i.e. longer switching period) and produce 1 at the output of the digital logic. As a result, the value of frequency control register fsw[3:0] increases and the switching frequency increases accordingly. Two ones at the input of the digital logic indicate operation at a faster switching frequency, result in negative output, and cause a decrease of fsw. It is assumed that half periods of the DPWM and the external clock are equal when the input is 10 and the frequency register remains unchanged.
It should be noted that an ideal external clock with precise 50% duty ratio is assumed. For the case when a non-ideal clock signal is applied, the present circuit needs minor modification. In that case, the delay line needs to be replaced with a “full-length+1” replica and the start and the strobe signal need to be created with two successive edges of the clock signal.
Dual Sampling/Clocking Mode Controller
This section shows a controller suitable for the use with the previously described DPWM as well as with other low-power high-frequency configurations. A block diagram of the controller is given in FIG. 8. It utilizes a dual-sampling/clocking scheme, which results in a low power consumption and in fast response to transients in the system.
The controller operates as follows. The attenuated output voltage of the switching converter Hvout(t) (see FIG. 1) is converted into its digital equivalent Hvout[n] using a windowed A/D and then compared to the reference value Vref[n]. The windowed A/D produces one of only seven possible discrete values of the errors signal e[n] (from −3 to +3), based on which the mode of the controller operation is set. The error is monitored with the hysteretic logic & clock divider block.
When the error is small, in the range of −3 to +3, the system operates in steady-state mode with the clock (clk 1 of FIG. 5) lower than the switching frequency. In this mode a high resolution control value ds-s[n] is updated every sixth cycle allowing Σ-Δ DPWM to perform averaging. In this specific implementation, averaging is performed over eight switching periods, resulting in a high effective resolution of the pulse-width modulated signal. In addition, since the switching action of the controller is less frequent, and the undersampling minimizes power consumption. Moreover, the undersampling also minimizes the influence of all processing delays in the digital control loop, including A/D conversion time, processing time of the digital compensator and effective delay of the DPWM, resulting in improved system stability. This is because the phase shift that is proportional to the ratio of the delay and the sampling period is minimized when the sampling/updating period is increased.
To improve dynamic characteristics of the system, which are limited by the steady-state mode, a dynamic mode is introduced. The controller enters into the dynamic mode when the hysteretic logic recognizes an absolute error larger than 3. At that moment it changes clock rate of the system, the control law of dual-mode look-up table (LUT) based compensator, and effectively eliminates the influence of the internal feedback of the Σ-Δ DPWM. In this mode, the fast DPWM inside the Σ-Δ DPWM is directly fed by most significant bits of the control input that corresponds to the low-resolution core DPWM input value dtr[n] (See FIGS. 2 and 3), which is updated every switching cycle. The controller stays in the dynamic mode until the absolute value of the error drops bellow 1, and then it switches back to the steady-state mode. In steady-state again high resolution of the pulse width modulated signal that is necessary for operation without limit-cycle is ensured. When in the transient state, the controller operates much faster and burns more power, when in the steady state it runs slower and more efficiently. The idea is based that 99% of the time we operate in steady state mode and there is no need to burn the power all the time. Conventional solutions use a compromise, and create controllers that are not very slow but take much larger power than our controller.
Dual-Mode Look-Up Table Based Compensator
The dual mode look-up table compensator shown in FIG. 9 combines flexibility of a conventional multiplier based implementation with low-power consumption of a conventional look-up table compensator shown in the previous art (Reference 3 below).
In conventional DSP and microprocessor based compensator implementations, digital multipliers are used to compute control value d[n]. Usually, the computation is performed using current value of error signal e[n], previous error values e[n−1], e[n−2], . . . , e[n−k], and previous control output values d[n−1], d[n−2], . . . d[n−m]. A general algorithm for calculation of the new value can be described with the following equation
d[n]=α 1 d[n−1]+α2 d[n−2]+ . . . +αm d[n−m]+β 1 e[n]+β 2 e[n−1]+ . . . +βk e[n−k]
where, αi and βj are compensator coefficients that shape dynamic performance of the system. The calculation of this type generally requires the use of multipliers, which generally take a large on-chip area and have high power consumption. In low power devices, the amount of power taken by the multipliers is usually intolerably high even when algorithms with minimal number of multiplications are performed, making them unsuitable for the applications of interest.
As an alternative, a solution based on look-up tables (LUTs) has been proposed in the previous art (as described in Reference 3). In the proposed solution a small number of pre-calculated values described with the following equation
d[n]=d[n−1]+ae[n]+be[n−1]+ce[n−2]
is kept in look up tables eliminating need for the power hungry multipliers. As a result the power consumption of the controller is significantly reduced and the realization on relatively small chip area is allowed.
However, the proposed solution suffers from a lack of flexibility and as such is not suitable for the present control method.
The novel solution of FIG. 9 shows a dual mode look up table with multiple entries for illustration of specific control values. The table can be separated in three main parts named slow, common, and fast. The entries that correspond to the small values of the error signal and operation in steady-state mode are stored in the slow portion and the values for operation in the dynamic mode are kept in the fast portion of the table. The common part has two sets of registers and the outputs of the table depend on the mode of operation, selected by mode control bit. This implementation allows flexibility of the regulator with a minimal increase in the system size and complexity. It should be noted that efficient the implementation of this system with two sets of completely independent look up tables could be possible. However, this implementation would be significantly less efficient in terms of the system power consumption and the on-chip area occupied by the compensator.
Verification
The operation of the present invention is verified with two realizations utilizing different implementation technologies. First, an all-digital prototype using a low-price FPGA development board was built. Then, an application specific integrated circuit (ASIC) was built. The ASIC utilizes analog delay cells shown in FIG. 6.
Using the FPGA system, a DPWM based on the block-diagrams of FIGS. 2 and 3 was constructed and pulse width modulated waveforms are measured.
FIG. 10 shows pulse-width modulated waveforms captured with a logic analyzer, when the control command d[n] (see FIG. 2) was changing between two 8-bit values. It can be seen that this FPGA implementation of the new DPWM allows operation at a constant frequency up to 60 MHz, which is in order of magnitude faster than any other known constant frequency all-digital solution. In this case, the delay cells of the low-resolution DPWM were constructed of FPGA' D-flip-flops, for which the typical propagation delay is 2.5 ns.
Experimental Verification (All-Digital FPGA Implementation)
The results of the verification demonstrated that the architecture of the present invention results in a significant increase of the switching frequencies at which digital controllers can be used. It is reasonable to assume that by transferring this design on an integrated circuit that is faster than the FPGA structure used, pulse width modulated signals at even higher frequencies (in the range of 100 MHz and about 120 MHz with optimization) could be achieved. This is based on implementation of the architecture to an integrated circuit in a manner that is known to those skilled in the art. Simulation results of such on-chip implementation are shown in FIG. 11, they verify operation at frequency of 115 MHz.
Closed Loop Operation
To further verify the operation of the controller, an experimental system based on block diagrams shown in FIG. 1 was constructed. To limit the switching losses of the buck converter switching frequency was decreased to 2 MHz using programmable delay cells shown in FIG. 5.
The buck converter is designed to operate with input voltage that varies from 4 to 10 V, at regulated output of 3.3 V, and to supply up to 1 A of the current at its output. The results of closed loop operation in steady-state mode are shown in FIG. 12. It can be seen how the Σ-Δ DPWM controller varies the duty ratio (i.e. ton times) over successive switching periods to maintain well-regulated output voltage.
FIG. 13 shows experimental results with a smaller 300 mW switching converter operating at ultra high switching frequency of 12 MHz. In this case regulated output voltage is set to 1.2 V and input voltage is 3.3V. These results are obtained with an application specific integrated circuit utilizing the new method and analog programmable delay cells.
Results of the measurement of the load transient response for the output load changes between 0.1 A and 1 A are shown in FIG. 14. Upon the transient the dynamic mode was activated with the high value of the control signal mode (see FIG. 8) and the controller quickly reduced overshoot caused by the load change. In the second phase, when the output voltage approached desired regulated value, the mode signal returned to zero value, and controller returned to steady-state mode characterized with improved voltage regulation. It can be seen that dual sampling technique results both in good output voltage regulation and fast dynamic response.
This document describes a digital controller for low-power DC-DC converters operating at ultra high constant switching frequencies. A novel architecture for a digital pulse-width modulator (DPWM) based on multi-bit 2nd order sigma-delta conversion (2nd order Σ-Δ DPWM) is introduced. The 2nd order Σ-Δ DPWM architecture is especially suitable for on-chip implementation. It allows creation of high-resolution high-frequency pulse-width modulated signals, and can be implemented with miniature low-power hardware. The invention also presents a new dual sampling/clocking mode control scheme that allows further reduction in power consumption of digital controller without penalties in the controller dynamic performance. Experimental FPGA-based implementation verifies advantages of the new architecture. Pulse width modulated signals at frequency of 60 MHz are produced and closed loop operation of DC-DC converter operating at 2 MHz is demonstrated.
REFERENCES
  • [1] J. Xiao, A. Peterchev, J. Zhang, S. R. Sanders, “An Ultra-Low-Power Digitally-Controlled Buck Converter IC for Cellular Phone Applications,” in Proc. IEEE APEC'04 Conf., 2004, pp. 383-391.
  • [2] A. V. Petrchev, J. Xiao, and S. R. Sanders, “Architecture and IC Implementation of a Digital VRM Controller,” IEEE Transactions on Power Electronics, Special Issue on Digital Control, vol. 18, pp. 356-364, January 2003.
  • [3] B. Patella, A. Prodić, A. Zirger, D. Maksimović, “High-Frequency digital controller PWM controller IC for DC-DC converters,” IEEE Transactions on Power Electronics, Special Issue on Digital Control, vol. 18, pp. 438-446, January 2003.
  • [4] A. P. Dancy, R. Amirtharajah, and A. P. Chandrakasan, “High-Efficiency Multiple-Output DC-DC Conversion For Low-Voltage Systems,” IEEE Transactions on VLSI Systems, vol. 8, pp. 252-263, June 2000.
  • [5] Data Sheet, TPS 62300, 500-mA, 3-MHz Step-Down Converter, Texas Instruments Inc.
  • [6] Data Sheet, MAX 85600, 4 MHz, 500 mA, Step-Down Dc-Dc Converter, Maxim.
  • [7] A. V. Peterchev, S. R. Sanders, “Quantization Resolution and Limit Cycling in Digitally Controlled PWM Converters,” IEEE Transactions on Power Electronics, Special Issue on Digital Control, vol. 18, pp. 301-308, January 2003.
  • [8] H. Peng, A. Prodić, E. Alarcón, and D. Maksimović, “Modeling of Quantization Effects in Digitally Controlled DC-DC Converters,” in Proc. IEEE PESC Conf., 2004, June 2004, pp. 4312-4318.
  • [9] A. Syed, E. Ahmed, D. Maksimović, and E. Alarcon, “Digital Pulse Width Modulator Architectures,” in Proc. IEEE PESC'04 Conf., 2004, pp. 4689-4695.
  • [10] Smith, K. M.; Smedley, K. M.; Yunhong Ma; “Realization of a digital PWM power amplifier using noise and ripple shaping” IEEE PESC '95 Page(s): 96-102.
  • [11] Z. Lu, Z. Qian, Y. Zang, W. Yao, G. Chan, Y. Wang, “Reduction of digital PWM limit ring with novel control algorithm,” IEEE APEC 2001, pp. 521-525.
  • [12] D. A. Johns and K. Martin, Analog Integrated Circuit Design, Wiley & Sons 1997, pp. 537-559.

Claims (33)

1. A digital controller for use in DC-DC switching converters comprising:
a multi-bit digital pulse-width modulator;
a dual sampling/clocking mode controller linked to said digital pulse-width modulator;
said digital pulse-width modulator and said dual sampling/clocking mode controller in combination being operable to control a low-power DC-DC switch-mode power supply operating at high constant switching frequency; and
said digital controller being operable at low power consumption.
2. The digital controller of claim 1, said digital controller producing an output voltage that is capable of being at least one of undersampled at a frequency lower than a switching frequency and sampled at a switching rate.
3. The digital controller of claim 1, said digital controller producing an output voltage, said digital controller being capable of sampling the output voltage at a switching rate to provide fast transient response, said digital controller being further capable of undersampling the output voltage at a frequency lower than a switching frequency to reduce power consumption.
4. The digital controller of claim 1, said digital controller being configured to assume a steady state mode and a dynamic mode and producing an output voltage that is capable of being sampled, said output voltage being sampled at a frequency lower than a switching frequency when said digital controller assumes the steady state mode, said output voltage being sampled at a switching rate when said digital controller assumes the dynamic mode.
5. The digital controller of claim 1, said digital pulse-width modulator being operable to vary a duty ratio of a pulse width modulated signal between a plurality of possible discrete values that over a plurality of switching periods and based on an output from the plurality of switching periods, an average duty ratio value is established, the average duty ratio value being equal to a high resolution digital control command.
6. The digital controller of claim 1, said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation.
7. The digital controller of claim 1 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation; and
said digital controller including a dual-mode compensator for reducing power consumption and improving effective resolution in a steady state of the digital pulse-width modulator to improve response during a plurality of transient states.
8. The digital controller of claim 1 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation and including a delay line; and
said delay line including a digital programmable cell to enable frequency switching at different power stages.
9. The digital controller of claim 1 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation and including a delay line;
said delay line including a digital programmable cell to enable frequency switching at different power stages; and
an analog programmable delay cell and a ½ line plus 1 frequency regulator to allow synchronization with an external clock.
10. The digital controller of claim 1 wherein high frequency of operation occurs between about 1 and 5 MHz.
11. The digital controller of claim 1 wherein ultra-fast switching frequency occurs above about 10 MHz.
12. The digital controller of claim 1 wherein high resolution occurs that is resolution providing tight output voltage regulation without limit cycle oscillations.
13. The digital controller of claim 1 wherein low resolution occurs that is resolution of conventional counter based solutions at high frequencies, between about 1 and 5 MHz, having a resolution of less than 6 bits.
14. A digital controller for use in DC-DC switching converters comprising:
a multi-bit digital pulse-width modulator;
a dual sampling/clocking mode controller linked to said digital pulse-width modulator;
said digital pulse-width modulator and said dual sampling/clocking mode controller in combination being operable to control a low-power DC-DC switch-mode power supply operating at high constant switching frequency;
said digital controller producing an output voltage and being operable at low power consumption; and
said digital controller being capable of sampling the output voltage at a switching rate to provide fast transient response, said digital controller being further capable of undersampling the output voltage at a frequency lower than a switching frequency to reduce power consumption to a level less than the level of power consumption that occurs when said digital controller samples the output voltage at the switching rate.
15. The digital controller of claim 14, said digital controller being configured to assume a steady state mode and a dynamic mode and producing an output voltage that is capable of being sampled, said output voltage being sampled at a frequency lower than a switching frequency when said digital controller assumes the steady state mode, said output voltage being sampled at a switching rate when said digital controller assumes the dynamic mode.
16. The digital controller of claim 14, said digital pulse-width modulator being operable to vary a duty ratio of a pulse width modulated signal between a plurality of possible discrete values that over a plurality of switching periods and based on an output from the plurality of switching periods, an average duty ratio value is established, the average duty ratio value being equal to a high resolution digital control command.
17. The digital controller of claim 14, said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation.
18. The digital controller of claim 14 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation; and
said digital controller including a dual-mode compensator for reducing power consumption and improving effective resolution in a steady state of the digital pulse-width modulator to improve response during a plurality of transient states.
19. The digital controller of claim 14 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation and including a delay line; and
said delay line including a digital programmable cell to enable frequency switching at different power stages.
20. The digital controller of claim 14 further comprising:
said digital pulse-width modulator using second-order multi-bit sigma-delta digital pulse-width modulation and including a delay line;
said delay line including a digital programmable cell to enable frequency switching at different power stages; and
an analog programmable delay cell and a ½ line plus 1 frequency regulator to allow synchronization with an external clock.
21. The digital controller of claim 14 wherein high frequency of operation occurs between about 1 and 5 MHz.
22. The digital controller of claim 14 wherein ultra-fast switching frequency occurs above about 10 MHz.
23. The digital controller of claim 14 wherein high resolution occurs that is resolution providing tight output voltage regulation without limit cycle oscillations.
24. The digital controller of claim 14 wherein low resolution occurs that is resolution of conventional counter based solutions at high frequencies, between about 1 and 5 MHz, having a resolution of less than 6 bits.
25. A method for controlling low power DC-DC switch mode power supplies at relatively high constant switching frequencies comprising:
undersampling in a steady state mode of a high resolution digital pulse-width modulator, said digital pulse-width modulator being linked to a dual sampling/clocking mode controller; and
sampling at a switching rate during transients such that an effective resolution of the digital pulse-width modulator is relatively low.
26. The method of claim 25 wherein a high frequency of operation is between about 1 and 5 MHz.
27. The method of claim 25 wherein an ultra-fast switching frequency is above about 10 MHz.
28. The method of claim 25 wherein a high resolution is a resolution that provides tight output voltage regulation without limit cycle oscillations.
29. The method of claim 25 wherein a low resolution is a resolution of conventional counter based solutions that at high frequencies of between about 1 and 5 MHz is less than 6 bits.
30. The method of claim 25 further comprising using a second-order multi-bit sigma-delta principle with a dual-sampling compensator to achieve high frequency and low power consumption.
31. The method of claim 25 further comprising using a second-order multi-bit sigma-delta principle to eliminate tones from a DC-DC switch-mode power supply that is part of the digital pulse-width modulator.
32. The method of claim 25 further comprising:
basing the digital pulse-width modulator on a ring oscillator structure; and
digitally controlling the frequency of the digital pulse-width modulator.
33. The digital controller of claim 17 further comprising using a mixed signal implementation to control the frequency of the digital pulse-width modulator based on a ring oscillator.
US11/576,433 2004-10-01 2005-10-03 Digital controller for DC-DC switching converters for operation at ultra-high constant switching frequencies Active 2026-02-25 US7759921B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CA002483378A CA2483378A1 (en) 2004-10-01 2004-10-01 A digital controller for dc-dc switching converters that allows operation at ultra-high constant switching frequencies
CA2,483,378 2004-10-01
CA2483378 2004-10-01
PCT/CA2005/001507 WO2006037214A1 (en) 2004-10-01 2005-10-03 A digital controller for dc-dc switching converters for operation at ultra-high constant switching frequencies

Publications (2)

Publication Number Publication Date
US20080303501A1 US20080303501A1 (en) 2008-12-11
US7759921B2 true US7759921B2 (en) 2010-07-20

Family

ID=36121743

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/576,433 Active 2026-02-25 US7759921B2 (en) 2004-10-01 2005-10-03 Digital controller for DC-DC switching converters for operation at ultra-high constant switching frequencies

Country Status (6)

Country Link
US (1) US7759921B2 (en)
EP (1) EP1794872A4 (en)
JP (1) JP4943337B2 (en)
CN (1) CN101061627B (en)
CA (1) CA2483378A1 (en)
WO (1) WO2006037214A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120049903A1 (en) * 2010-08-30 2012-03-01 Rf Micro Devices, Inc. Low noise charge pump
US20120326685A1 (en) * 2009-04-21 2012-12-27 Renesas Electronics Corporation Power supply control device and power supply control method
US10418898B2 (en) 2017-07-18 2019-09-17 Electronics And Telecommunications Research Institute Switch mode power supply using a reconfigurable delta-sigma modulator and method of driving the same

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100576373B1 (en) * 2004-03-08 2006-05-03 학교법인 한양학원 Digital dc-dc converter using digital modulation
US7581120B1 (en) 2005-05-23 2009-08-25 National Semiconductor Corporation System and method for providing multi-point calibration of an adaptive voltage scaling system
DE102006040186B4 (en) * 2006-08-26 2008-07-31 Robert Bosch Gmbh Method for operating an electrical device
TW200814499A (en) * 2006-09-01 2008-03-16 Richtek Technology Corp Switching type power supply and method of determining compensation coefficients
JP5186148B2 (en) * 2006-10-02 2013-04-17 株式会社日立製作所 Digitally controlled switching power supply
US7667625B2 (en) 2007-02-28 2010-02-23 Exar Corporation Universal and fault-tolerant multiphase digital PWM controller for high-frequency DC-DC converters
US7710174B2 (en) 2007-03-16 2010-05-04 Exar Corporation Digital pulse-width modulator based on non-symmetric self-oscillating circuit
US9019734B2 (en) * 2007-07-31 2015-04-28 Rockwell Automation Technologies, Inc. Solid state switch gate firing with phase shift delay line
US7893674B2 (en) * 2007-09-26 2011-02-22 Qualcomm, Incorporated Switch mode power supply (SMPS) and methods thereof
JP5146820B2 (en) * 2008-04-04 2013-02-20 富士電機株式会社 Power supply
EP2178198B1 (en) * 2008-10-14 2014-12-31 ST-Ericsson SA (ST-Ericsson Ltd) Digital PWM control circuit with fast recovery
DE112009003526T5 (en) * 2008-11-21 2012-09-27 L & L Engineering Llc Digital compensator for power supply applications
DE112009003584T5 (en) * 2008-11-21 2012-05-24 L & L Engineering Llc METHOD AND SYSTEMS FOR A DIGITAL PULSE WIDTH MODULATOR
JP5315982B2 (en) * 2008-12-24 2013-10-16 富士通セミコンダクター株式会社 DC-DC converter control circuit, DC-DC converter and electronic apparatus
JP5262687B2 (en) * 2008-12-24 2013-08-14 Tdk株式会社 Bidirectional converter
US8305064B2 (en) * 2008-12-24 2012-11-06 Dora S.P.A. Control device for a switching converter
US8143874B2 (en) * 2009-03-20 2012-03-27 Maxim Integrated Products, Inc. Switch-mode power supply (SMPS) controller integrated circuit determining operating characteristics from filter component information
US8749213B2 (en) * 2009-06-09 2014-06-10 Silergy Technology Mixed mode control for switching regulator with fast transient responses
US20110089914A1 (en) * 2009-10-15 2011-04-21 National Semiconductor Corporation Apparatus and method for isolating an adaptive voltage scaling (AVS) loop in a powered system
US9093846B2 (en) 2009-12-04 2015-07-28 National Semiconductor Corporation Methodology for controlling a switching regulator based on hardware performance monitoring
EP2388902B1 (en) * 2010-05-21 2013-08-14 C.R.F. Società Consortile per Azioni System and method for digital control of a DC/DC power-converter device, in particular for automotive applications
CN101938278B (en) * 2010-08-26 2013-04-10 成都芯源系统有限公司 High-performance numerical control conversion circuit and method thereof
JP5487084B2 (en) * 2010-11-19 2014-05-07 株式会社メガチップス Power supply
US8829879B2 (en) 2010-12-03 2014-09-09 Maxim Integrated Products, Inc. Inductor current measurement for DC to DC converters
TWI462480B (en) * 2010-12-15 2014-11-21 Monolithic Power Systems Inc High performance of fully-digitally controlled pwm converters
TWI458228B (en) * 2011-10-26 2014-10-21 Acbel Polytech Inc Soft start control method and device for power supply
US9887579B2 (en) * 2012-01-05 2018-02-06 Schneider Electric It Corporation Power converter with digital current control circuit
US20130181690A1 (en) * 2012-01-17 2013-07-18 Rendon Holloway Active droop power supply with improved step-load transient response
US9281745B2 (en) 2012-11-01 2016-03-08 Stellamar Llc Digital controller for switch-mode DC-DC converters and method
CN102946254B (en) * 2012-12-13 2015-05-27 成都芯源系统有限公司 Digital controller and digital control method of multiphase switching converter
CN103294091B (en) * 2013-06-28 2014-10-15 电子科技大学 Voltage type feedback circuit suitable for DC-DC (Direct Current) converter
JP6287266B2 (en) 2014-01-28 2018-03-07 富士電機株式会社 Switching power supply control device
WO2015176269A1 (en) * 2014-05-22 2015-11-26 Texas Instruments Incorporated Dc-dc converter controller apparatus with dual-counter digital integrator
US9614444B2 (en) 2014-09-11 2017-04-04 Infineon Technologies Austria Ag Dynamic voltage transition control in switched mode power converters
JP6674749B2 (en) * 2015-06-04 2020-04-01 ローム株式会社 Digital control power supply circuit, control circuit thereof, and electronic device using the same
US9450492B1 (en) * 2015-06-24 2016-09-20 Infineon Technologies Ag System and method for controlling a duty cycle of a switched-mode power supply
US10090850B2 (en) * 2016-04-12 2018-10-02 Microchip Technology Incorporated Microcontroller with digital delay line analog-to-digital converter
CN106027052B (en) * 2016-05-17 2019-03-29 电子科技大学 A kind of Current controlled delay line circuit
CN106208675B (en) * 2016-07-27 2019-06-07 南京理工大学 DC/DC controller based on digital delay circuit
US10008954B2 (en) * 2016-10-14 2018-06-26 Infineon Technologies Austria Ag Switching frequency modulation in a switched mode power supply
CN107959415B (en) * 2017-12-08 2020-05-22 重庆科技学院 Delay control circuit for DC-DC converter
CN108170052A (en) * 2017-12-22 2018-06-15 北京无线电测量研究所 A kind of expansible digital power control system and method based on FPGA
KR102549239B1 (en) * 2018-04-24 2023-06-30 한국전자통신연구원 Buck-boost converter using delta-sigma mudulator
CN109302166B (en) * 2018-09-07 2022-08-23 南方科技大学 Pulse width modulation circuit and device
US10819260B2 (en) * 2018-12-07 2020-10-27 Rockwell Automation Technologies, Inc Frequency and load balance compensated, gate firing phase shift delay line
WO2020163857A1 (en) * 2019-02-08 2020-08-13 Lear Corporation Hv/mv/lv dc/dc converter
CN110516276B (en) * 2019-06-05 2022-11-29 西北工业大学太仓长三角研究院 High-frequency switch power converter real-time simulation method based on FPGA
KR102453380B1 (en) * 2019-08-02 2022-10-11 한국전자통신연구원 Boost dc-dc converter using dsm, duty ratio controller for the boost dc-dc converter, and a method for configuring the duty ratio controller
US11018583B2 (en) * 2019-10-24 2021-05-25 Kinetic Technologies Switching voltage regulators with phase-lock loops and lock range extension
CN111884631A (en) * 2020-04-30 2020-11-03 电子科技大学 Digital pulse width modulation module adopting hybrid structure
CN112145553B (en) * 2020-09-22 2021-08-10 珠海格力电器股份有限公司 Magnetic suspension bearing system, control method and device thereof and storage medium
CN113946937B (en) * 2021-08-27 2023-01-10 芯华章科技股份有限公司 Synchronization method and simulator
CN114567150B (en) * 2022-03-10 2023-05-26 电子科技大学 Digital pulse width modulator suitable for various isolation topologies

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984967B2 (en) * 2003-10-29 2006-01-10 Allegro Microsystems, Inc. Multi-mode switching regulator
US7286009B2 (en) * 2005-12-30 2007-10-23 D2Audio Corporation Digital PWM amplifier with simulation-based feedback
US7449869B2 (en) * 2004-09-01 2008-11-11 Artesyn Technologies, Inc. Digital current mode controller with low frequency current sampling
US7456621B2 (en) * 2005-05-06 2008-11-25 Silicon Laboratories Inc. Digital controller based power factor correction circuit
US7498781B2 (en) * 2006-04-07 2009-03-03 L&L Engineering Llc Methods and systems for disturbance rejection in DC-to-DC converters

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2722585B2 (en) * 1988-12-28 1998-03-04 日本電気株式会社 Drive circuit for inductive load
GB2277215B (en) * 1993-04-16 1997-04-23 Marconi Gec Ltd A power control switch
US5475296A (en) * 1994-04-15 1995-12-12 Adept Power Systems, Inc. Digitally controlled switchmode power supply
US6005377A (en) * 1997-09-17 1999-12-21 Lucent Technologies Inc. Programmable digital controller for switch mode power conversion and power supply employing the same
JPH11178326A (en) * 1997-12-12 1999-07-02 Mitsubishi Electric Corp Dc power supply unit
JP3744680B2 (en) * 1998-03-31 2006-02-15 富士通株式会社 Power supply device and method for controlling power supply circuit
US6031749A (en) * 1999-03-31 2000-02-29 Vari-Lite, Inc. Universal power module
US6341073B1 (en) * 2000-11-16 2002-01-22 Philips Electronics North America Corporation Multiple valley controller for switching circuit
JP2002300772A (en) * 2001-03-30 2002-10-11 Shindengen Electric Mfg Co Ltd Switching power supply
US6977492B2 (en) * 2002-07-10 2005-12-20 Marvell World Trade Ltd. Output regulator

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984967B2 (en) * 2003-10-29 2006-01-10 Allegro Microsystems, Inc. Multi-mode switching regulator
US7449869B2 (en) * 2004-09-01 2008-11-11 Artesyn Technologies, Inc. Digital current mode controller with low frequency current sampling
US7456621B2 (en) * 2005-05-06 2008-11-25 Silicon Laboratories Inc. Digital controller based power factor correction circuit
US7286009B2 (en) * 2005-12-30 2007-10-23 D2Audio Corporation Digital PWM amplifier with simulation-based feedback
US7498781B2 (en) * 2006-04-07 2009-03-03 L&L Engineering Llc Methods and systems for disturbance rejection in DC-to-DC converters

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120326685A1 (en) * 2009-04-21 2012-12-27 Renesas Electronics Corporation Power supply control device and power supply control method
US8519690B2 (en) * 2009-04-21 2013-08-27 Renesas Electronics Corporation Power supply control device and power supply control method
US20120049903A1 (en) * 2010-08-30 2012-03-01 Rf Micro Devices, Inc. Low noise charge pump
US10418898B2 (en) 2017-07-18 2019-09-17 Electronics And Telecommunications Research Institute Switch mode power supply using a reconfigurable delta-sigma modulator and method of driving the same

Also Published As

Publication number Publication date
EP1794872A1 (en) 2007-06-13
JP2008522564A (en) 2008-06-26
CN101061627A (en) 2007-10-24
WO2006037214A1 (en) 2006-04-13
JP4943337B2 (en) 2012-05-30
EP1794872A4 (en) 2013-05-22
CN101061627B (en) 2011-10-12
CA2483378A1 (en) 2006-04-01
US20080303501A1 (en) 2008-12-11

Similar Documents

Publication Publication Date Title
US7759921B2 (en) Digital controller for DC-DC switching converters for operation at ultra-high constant switching frequencies
Lukic et al. Multibit $\Sigma $–$\Delta $ PWM digital controller IC for DC–DC converters operating at switching frequencies beyond 10 MHz
Wang et al. All-digital DPWM/DPFM controller for low-power DC-DC converters
US7667625B2 (en) Universal and fault-tolerant multiphase digital PWM controller for high-frequency DC-DC converters
US20070195876A1 (en) Self-calibrating digital pulse-width modulator (DPWM)
LukiC et al. High-frequency digital controller for dc-dc converters based on multi-bit/spl Sigma/-/spl Delta/pulse-width modulation
Syed et al. Digital PWM controller with feed-forward compensation
Parayandeh et al. Programmable analog-to-digital converter for low-power DC–DC SMPS
WO2008115817A1 (en) Digital pulse-width modulator based on non-symmetric self-oscillating circuit
Lukic et al. Digital controller for multi-phase DC-DC converters with logarithmic current sharing
Lukic et al. Universal and fault-tolerant multiphase digital PWM controller IC for high-frequency DC-DC converters
Qiu et al. Proposed DPWM scheme with improved resolution for switching power converters
Trescases et al. A segmented digital pulse width modulator with self-calibration for low-power SMPS
Guo et al. Digital PWM controller for high-frequency low-power DC-DC switching mode power supply
Huang et al. A 4-MHz digitally controlled voltage-mode buck converter with embedded transient improvement using delay line control techniques
Rahman et al. Multimode digital SMPS controller IC for low-power management
Chander et al. ASIC and FPGA based DPWM architectures for single-phase and single-output DC-DC converter: a review
Parayandeh et al. 10 MHz peak current mode dc-dc converter IC with calibrated current observer
Batarseh et al. Segmented digital clock manager-FPGA based digital pulse width modulator technique
Zhang et al. A digital multi-mode multi-phase IC controller for voltage regulator application
Parayandeh et al. Programmable digital controller for multi-output DC-DC converters with a time-shared inductor
Lukic Design and practical implementation of advanced reconfigurable digital controllers for low-power multi-phase DC-DC converters
Lukić et al. Oversampled digital controller IC based on successive load-change estimation for dc-dc converters
Gao et al. Analysis and comparison of three implementation methodologies for high-resolution DPWM
Smirnov et al. High Speed DPWM for Digital Power Converter Controller

Legal Events

Date Code Title Description
AS Assignment

Owner name: NXP B.V.,NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PRODIC, ALEKSANDAR;REEL/FRAME:024519/0898

Effective date: 20070615

Owner name: NXP B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:PRODIC, ALEKSANDAR;REEL/FRAME:024519/0898

Effective date: 20070615

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:038017/0058

Effective date: 20160218

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12092129 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:039361/0212

Effective date: 20160218

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12681366 PREVIOUSLY RECORDED ON REEL 039361 FRAME 0212. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:042762/0145

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12681366 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:042985/0001

Effective date: 20160218

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

AS Assignment

Owner name: NXP B.V., NETHERLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:050745/0001

Effective date: 20190903

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 042985 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051029/0001

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 042762 FRAME 0145. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051145/0184

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 039361 FRAME 0212. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051029/0387

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION12298143 PREVIOUSLY RECORDED ON REEL 039361 FRAME 0212. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051029/0387

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION12298143 PREVIOUSLY RECORDED ON REEL 042985 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051029/0001

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 12298143 PREVIOUSLY RECORDED ON REEL 038017 FRAME 0058. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051030/0001

Effective date: 20160218

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION12298143 PREVIOUSLY RECORDED ON REEL 042762 FRAME 0145. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY AGREEMENT SUPPLEMENT;ASSIGNOR:NXP B.V.;REEL/FRAME:051145/0184

Effective date: 20160218

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12