US7491964B2 - Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process - Google Patents

Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process Download PDF

Info

Publication number
US7491964B2
US7491964B2 US10/905,683 US90568305A US7491964B2 US 7491964 B2 US7491964 B2 US 7491964B2 US 90568305 A US90568305 A US 90568305A US 7491964 B2 US7491964 B2 US 7491964B2
Authority
US
United States
Prior art keywords
oxynitride
sti
margin
trench
doped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US10/905,683
Other versions
US20060160322A1 (en
Inventor
Fred Buehrer
Anthony I. Chou
Toshiharu Furukawa
Renee T. Mo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/905,683 priority Critical patent/US7491964B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BUEHRER, FRED, FURUKAWA, TOSHIHARU, MO, RENEE T., CHOU, ANTHONY I
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BUEHRER, FRED, FURUKAWA, TOSHIHARU, MO, RENEE T., CHOU, ANTHONY I
Publication of US20060160322A1 publication Critical patent/US20060160322A1/en
Priority to US11/955,751 priority patent/US7491563B2/en
Application granted granted Critical
Publication of US7491964B2 publication Critical patent/US7491964B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Definitions

  • the present invention relates generally to a shallow trench isolation (STI) structure of a semiconductor device and method for forming an improved shallow trench isolation structure incorporating a pure silicon dioxide STI fill with an oxynitride top layer.
  • STI shallow trench isolation
  • Shallow Trench Isolation is used to electrically isolate semiconductor devices that make up an integrated circuit (IC). STI minimizes unwanted current leakage between the semiconductor devices, which can affect the performance of each semiconductor device.
  • STI structures are formed by etching trenches into a silicon substrate. These trenches are then filled with an insulating dielectric STI fill such as, silicon dioxide (SiO2).
  • the STI structures are formed early in the semiconductor-integrated circuit fabrication process flow. Therefore, the STI fill is exposed to many additional fabrication processes. These additional fabrication processes include many exposures to hydrofluoric acid (HF). The HF is used to etch away unwanted material, such as silicon dioxide, from exposed surfaces.
  • HF hydrofluoric acid
  • the HF also erodes away silicon dioxide STI fill.
  • the erosion of the STI silicon dioxide fill occurs in two ways. First, the HF can decrease the overall height of the STI silicon dioxide fill. Second, the HF erodes more STI silicon dioxide fill from around the edges of the top surface of the STI fill. This increased erosion around the edges of the top surface of the STI fill results in the formation of a “divot” between the edges of the top surface of the STI fill and the top surface of the silicon trench walls. The reduction in the overall height of the STI fill and the formation of a divot around the edges of the top surface of the STI fill reduces the effectiveness of the STI structure and can result in a variety of possible circuit failures and/or changes in performance.
  • One method of minimizing the loss (i.e., erosion) of the STI silicon dioxide fill is to reduce the amount of HF exposure to the minimum amount necessary to achieve a robust integrated circuit fabrication process.
  • changes may be made to the STI structure.
  • the composition of the STI fill may be changed to include a dielectric other than pure silicon dioxide in order to reduce the etch rate of the HF.
  • Oxynitride films e.g., SiOxNy
  • Oxynitride films e.g., SiOxNy
  • SiOxNy etch more slowly in HF than SiO 2 , so methods to create oxynitride features in an STI structure can reduce STI fill height loss and divot formation.
  • Nitrogen ion implantation into the STI silicon dioxide fill is one method of creating an oxynitride (see U.S. Pat. No. 5,316,965 issued to Philipossian et al. on May 31, 1994 and incorporated herein by reference). However, because of the relatively high energy and dose of this implant, the resulting implant damage can actually result in an increased etching rate.
  • Thermal Nitridation of the STI silicon dioxide fill is another method of including an oxynitride in the STI structure (see U.S. Pat. No. 5,811,347 issued to Gardner et al. on Sep. 22, 1998 and incorporated herein by reference).
  • Thermal nitridation is accomplished by exposing a semiconductor wafer to a nitrogen containing gas such as, ammonia (NH3), nitric oxide (NO), or nitrous oxide (N 2 O), at high temperatures.
  • a nitrogen containing gas such as, ammonia (NH3), nitric oxide (NO), or nitrous oxide (N 2 O)
  • NH3 ammonia
  • NO nitric oxide
  • N 2 O nitrous oxide
  • Another method of including an oxynitride in the STI structure is by directly depositing an oxynitride film into the STI trenches (see U.S. Pat. No. 6,498,383 issued to Beyer et al. on Dec. 24, 2002 and incorporated herein by reference).
  • the invention described below addresses these issues by providing an STI structure, and a method for forming the STI structure, in which a pure silicon dioxide material is used to fill the shallow trench structure in order to facilitate polishing and in which the upper portion of the silicon dioxide fill, and optionally, the upper STI fill margins, where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet, are doped with nitrogen to prevent erosion.
  • This disclosure presents a shallow trench isolation structure (STI) for a semiconductor device. Specifically, it presents an improved shallow trench isolation structure comprising a pure silicon dioxide STI fill with an oxynitride top layer and, optionally, incorporates additional nitrogen in the region of the upper STI fill margins, where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet (i.e., oxynitride STI fill margins).
  • STI shallow trench isolation structure
  • a pure silicon dioxide-filled isolation trench is formed in a silicon layer of a silicon-on-insulator (SOI) or bulk substrate.
  • SOI silicon-on-insulator
  • the upper portion of the silicon dioxide fill undergoes a plasma nitridation process to form an oxynitride top layer.
  • the oxynitride top layer of the STI fill extends both above and below the level of the top surface of the silicon layer.
  • the oxynitride top layer of the STI fill reduces the erosion of the STI fill caused by further processing of the semiconductor device.
  • the STI fill is thermally nitridized after the plasma nitridation process to incorporate additional nitrogen into the STI fill margins and, particularly, in the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners.
  • the oxynitride STI fill corners significantly reduces formation of divots.
  • a multi-layered stack is formed comprising a pad nitride layer on a pad oxide layer on a silicon substrate layer (e.g., either an SOI substrate or a bulk substrate).
  • a patterned photo-resist layer is formed on the pad nitride layer using a photolithography pattern in order to create a window defining the STI structure location.
  • RIE reactive ion etching
  • Silicon dioxide is deposited over the pad nitride layer and into the shallow isolation trench by chemical vapor deposition (CVD). Then, the silicon dioxide is planarized by CMP, so that the silicon dioxide fill in the shallow isolation trench is approximately level with the top surface of the pad nitride layer.
  • HF etching can be performed to adjust the STI fill height and also to remove any residual STI fill oxide on the pad nitride layer.
  • the top surface of the wafer and particularly the STI fill oxide is subjected to nitrogen plasma to nitridize the top portion of the STI fill oxide to form an oxynitride top layer.
  • the pad nitride layer and the pad oxide layer prevent nitridation of the silicon surface in device area of the wafer.
  • thermal anneal is performed to complete the nitridation of STI fill oxide and also to remove any damages created during the plasma nitridation process.
  • the thermal anneal may be performed in NH3, NO, or N 2 O ambient to incorporate additional nitrogen (i.e., by thermal nitridation) into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners.
  • the pad nitride and the pad oxide are then etched off to complete the STI fabrication process.
  • the pad nitride layer and the pad oxide layer may be removed before the plasma nitridation process.
  • the silicon surface will also be nitridized during the plasma nitridation process and some of nitrogen may be incorporated in the gate dielectric during a gate oxidation process.
  • the oxynitride STI fill top layer formed by the plasma nitridation process and subsequent thermal anneal is effective at minimizing overall STI fill erosion caused by various fabrication processing steps for forming semiconductor device.
  • the additional nitrogen incorporation into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners reduces the formation of divots.
  • FIG. 1 is a schematic diagram cross-sectional view diagram of a partially completed shallow trench isolation structure
  • FIG. 2 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 3 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 4 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIGS. 5-6 are schematic cross-sectional view diagrams of completed STI structures following hydrofluoric acid (HF) etching processes
  • FIG. 7 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 8 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 9 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 10 is a schematic cross-sectional view diagram of a completed STI structure
  • FIG. 11 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 12 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 13 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 14 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 15 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 16 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 17 is a schematic cross-sectional view diagram of a partially completed STI structure
  • FIG. 18 is a schematic cross-sectional view diagram of a completed STI structure
  • FIG. 19 a is a schematic cross-sectional nitrogen concentration profile
  • FIG. 19 b is a schematic cross-sectional nitrogen concentration profile
  • FIG. 20 is graph illustrating percentage of STI oxide fill loss due to HF etching for different STI structures formed using varying Radio Frequency (RF) powers (Watt) for the plasma nitridation process; and,
  • RF Radio Frequency
  • FIGS. 21 and 22 are schematic cross-sectional view diagrams of completed STI structures following HF etching processes.
  • conventional shallow trench isolation (STI) structures are formed by etching trenches 136 of a depth of approximately 10-700 nm (shallower for SOI substrates and deeper for bulk substrates) through a multi-layered stack 105 (e.g., an approximately 5-200 nm thick pad nitride 102 on an approximately 5-50 nm thick pad oxide 104 on a silicon substrate 106 on a buried oxide (BOX) 108 , etc. (see FIG. 1 ) and extending into the silicon substrate 106 in order to separate semiconductor device active areas.
  • the pad nitride layer 102 protects the underlying layers, during various process steps used to form the STI structures (see FIG. 1 ).
  • the exposed silicon surfaces are thermally oxidized to form 1-10 nm thick silicon dioxide layer.
  • the trenches 136 are filled with an insulating dielectric (i.e., STI fill 110 ), specifically, silicon dioxide (SiO2) (see FIG. 2 ).
  • STI fill 110 deposition thickness may vary and can be approximately 20-1000 nm.
  • CMP chemical mechanical planarization
  • a high temperature thermal anneal can be performed to increase the density of the STI fill oxide 110 .
  • HF etching can be performed to adjust the STI fill 110 height and to remove any residual STI fill oxide on top of the pad nitride 102 .
  • the pad nitride 102 is then stripped from the pad oxide 104 using a hot solution of phosphoric acid.
  • the pad oxide 104 is also stripped away from the silicon substrate 106 often with a hydrofluoric acid (HF).
  • HF hydrofluoric acid
  • the resulting STI fill 110 is often not complete.
  • the HF when HF is used to etch away the pad oxide 104 , the HF also erodes away the STI silicon dioxide fill 110 .
  • the final shape of the STI structure depends on the starting height of the STI fill 110 relative to the silicon substrate 106 , the specific integration, and the amount of HF exposure. As illustrated in FIG. 6 , the HF can decrease the overall height 122 of the STI silicon dioxide fill 110 . As illustrated in FIG. 5 , once the pad nitride 102 is removed, the HF etch process can erode more STI silicon dioxide fill 110 from around the edges of the top surface 112 of the STI fill 110 .
  • This increased erosion around the edges of the top surface 112 of the STI fill 110 results in the formation of a “divot” 120 between the edges of the top surface 112 of the STI fill 110 and the edges on the top surface 116 of the silicon substrate 106 correspond to the silicon trench walls 118 .
  • the reduction in the overall height 122 of the STI fill 110 and the formation of a divot 120 around the edges of the top surface 112 of the STI fill 110 reduces the effectiveness of the STI structure and can result in defect formation.
  • the invention provides an improved shallow trench isolation structure (STI) for a semiconductor device.
  • STI shallow trench isolation structure
  • it presents an improved shallow trench isolation structure comprising a pure silicon dioxide STI fill with an oxynitride top layer formed by a plasma nitridation process and thermal anneal and, optionally, incorporates additional nitrogen (e.g., by thermal NO, NH 3 or N 2 O nitridation) into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners.
  • additional nitrogen e.g., by thermal NO, NH 3 or N 2 O nitridation
  • the oxynitrides so positioned, limit STI fill height loss and also reduce the formation of divots in the STI fill below the level of the top surface of the silicon substrate caused by HF etching and other fabrication process. Limiting STI fill height loss and the formation of divots improves the functions of the STI structure and the process yield.
  • the method of forming the STI structure is compatible with standard fabrication processes, particularly with chemical mechanical polishing (CMP), because it incorporates the use of a pure silicon dioxide STI fill and a plasma nitridatiton of the top layer of the STI fill after CMP.
  • CMP chemical mechanical polishing
  • embodiments of the present semiconductor device shallow trench isolation structure 100 , 200 as illustrated in FIGS. 10 and 18 , respectively, comprises an isolation trench 136 incorporating a pure silicon dioxide fill (STI fill 110 ) having an oxynitride STI fill top layer 126 and, optionally, oxynitride STI fill corners 130 , in the region where the STI fill oxide 110 and the upper edges 119 of the silicon trench walls 118 meet (see FIG. 18 ).
  • STI fill 110 pure silicon dioxide fill
  • An isolation trench 136 is formed in a silicon layer 106 of a silicon-on-insulator (SOI) or bulk substrate structure 106 .
  • the isolation trench 136 is filled with a pure silicon dioxide fill (i.e., STI fill 110 ) and the top surface 112 of the STI fill 110 undergoes a plasma nitridation process that incorporates nitrogen into the upper portion of the STI fill oxide 110 to form an oxynitride STI fill top layer 126 .
  • the depth of the nitridized STI fill top layer 126 is such that the oxynitride extends both above and below the level of the top surface 116 of the silicon layer 106 . Additionally, as shown in the structure 200 of FIG.
  • nitrogen is incorporated into the STI fill margins 117 adjacent the silicon trench walls 118 and, particularly, into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet to form oxynitride STI fill corners 130 .
  • the thermal nitridation process e.g., a 800 C-1000 C thermal anneal with NO, NH 3 or N 2 O gas applied to the STI fill 110 after the plasma nitridation process
  • the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the STI fill margins 117 lower in the STI trench 136 .
  • Both the oxynitride STI fill top layer 126 alone and the oxynitride STI fill corners 130 are effective at preventing erosion of the STI fill 110 , including the loss of STI fill height 122 and divot 120 formation caused by further processing of the semiconductor device (see FIGS. 5-6 ).
  • an isolation trench 136 is formed in a multi-layered stack 150 , wherein the multi-layered stack 150 comprises a pad nitride layer 102 on a pad oxide layer 104 on a silicon substrate 106 on a buried oxide layer 108 .
  • the trench 136 comprises silicon trench walls 118 and is filled with a silicon dioxide fill 110 .
  • the process of forming the silicon dioxide-filled trench includes forming the multi-layered stack 105 .
  • a silicon substrate 106 e.g., SOI substrate or bulk substrate
  • a pad oxide layer 104 is grown on top of the silicon substrate 106 .
  • a pad nitride layer 102 is then deposited on top of the pad oxide 104 .
  • a patterned photo-resist layer is formed on the pad nitride layer 102 , using a photolithography pattern in order to create a window defining the STI structure location. Then, using a reactive ion etching (RIE) process shallow isolation trench 136 is etched through the window, nitride and pad oxide layers 102 , 104 and into the silicon substrate 106 . Once the trench 136 is etched, the photo-resist layer is removed. After stripping the photo-resist, the exposed silicon surfaces in the trench 136 are thermally oxidized to form 1-10 nm silicon dioxide.
  • RIE reactive ion etching
  • the pad nitride layer 102 serves as a stopping layer during the CMP process.
  • An optional process includes using hydrofluoric acid HF or another etchant to remove any residual silicon dioxide from the pad nitride layer top surface 114 to prepare for the pad nitride layer 102 removal process (discussed below) and also to adjust the STI fill oxide height 122 .
  • the method of forming a shallow trench isolation structure of the present invention with the oyxnitride STI fill oxide features can be accomplished according to alternative method embodiments.
  • the differences between these embodiments reflect different sequences by which the method processes are performed.
  • the nitridation process should be completed with a high temperature thermal anneal 127 (see FIG. 9 , discussed below) to form the completed STI structure 100 of FIG. 10 .
  • This thermal anneal 127 will also repair plasma damages.
  • There is an additional method embodiment for forming an STI structure 200 see FIG. 18 ) in which a thermal nitridation process 128 is performed in addition to the plasma nitridation process 124 .
  • the thermal nitridation process 128 is performed in order to form oxynitride STI fill margins 117 and, particularly, to form oxynitride STI fill corners 130 in the region of the STI fill margins 117 adjacent the upper edges 119 of the silicon trench walls 118 .
  • the oxynitride STI fill corners 130 are formed such that they are thicker and contain a higher concentration of nitrogen as compared to the other regions of the STI fill margins 117 .
  • a plasma nitridation process 124 can be used to incorporate nitrogen into the upper portion of the STI fill oxide 110 through top surface 112 to convert the upper portion into an oxynitride STI fill top layer 126 (see FIGS. 7-8 ).
  • the plasma nitridation process 124 is explained in greater detail below.
  • the pad nitride layer 102 is stripped, e.g., by using a hot solution of phosphoric acid, etc., and the pad oxide layer 104 is also stripped, e.g., by using an HF etching process, etc., to form the preliminary STI structure of FIG. 9 .
  • Stripping the pad oxide layer 104 provides a clean silicon surface to grow an additional sacrificial pad oxide layer (not shown) which serves to protect the underlying substrate during additional semiconductor device fabrication processes (e.g., through the well formation ion implantation sequences).
  • additional semiconductor device fabrication processes e.g., through the well formation ion implantation sequences.
  • the pad nitride 102 can be removed from the pad oxide 104 , e.g., by using a hot solution of phosphoric acid, etc., (see FIG. 11 ). Then, a plasma nitridation process 124 can be applied to the top surface 112 of the STI fill 110 to convert the upper portion of the STI fill oxide 110 into an oxynitride STI fill top layer 126 (see FIGS. 12-13 ). Once the plasma nitridation process 124 is complete, the pad oxide 104 can be removed from the silicon substrate 106 , e.g., by using an HF etching process, etc. (see FIG. 9 ). Alternatively, once the structure of FIG.
  • the pad nitride 102 and the pad oxide 104 may be removed (see FIG. 4 ), as discussed above, prior to subjecting the STI fill oxide 110 to a plasma nitridation process 124 (see FIGS. 14-15 and 9 ).
  • the plasma nitridation process 124 is performed, the nitridation process should be completed with a high temperature thermal anneal 127 (see FIG. 9 , discussed below) to form the completed STI structure 100 of FIG. 10 .
  • the upper portion of the STI fill 110 is nitridized through the top surface 112 to a depth such that the thickness (i.e., depth) of the resulting oxynitride STI fill top layer 126 extends above and below the level of the silicon substrate top surface 116 .
  • Varying the order of the processes e.g., plasma nitridation and stripping of the pad nitride 102 and pad oxide 104 layers, may result in a decrease in the height of the STI fill 110 and, thus, effectively increase the depth of the oxynitride STI fill top layer 126 to a greater degree below the level of the silicon substrate top surface 116 .
  • the plasma nitridation process 124 is performed after the pad nitride layer 102 has been stripped (see FIG. 12 or 14 ). Stripping the pad nitride 102 , will reduce the height of the STI fill 110 relative to the level of the top surface 116 of the silicon substrate 106 . Thus, subjecting the STI fill 110 to a plasma nitridation process 124 , after the pad nitride layer 102 is stripped, will incorporate more nitrogen into the STI fill 110 below the level of the silicon layer top surface 116 . This is particularly advantageous to minimize STI divot 120 formation, as illustrated in FIG. 6 , because the nitrogen concentration at the edges of the oxynitride STI fill top layer 126 will reduce the etch rate from HF exposure.
  • the top surface 112 of the STI fill 110 is subjected to a plasma nitridation process 124 (see FIGS. 7-8 ) to form an oxynitride STI fill top layer 126 (see FIG. 8 ) having a thickness that extends both above and below the level of the top surface 116 of the silicon substrate 106 .
  • a thermal nitridation process 128 e.g., 800 C-1000 C anneal in NO, NH3 or N2O gas
  • FIG. 16 a thermal nitridation process 128
  • This thermal nitridation process 128 incorporates additional nitrogen into the STI fill margins 117 adjacent the silicon trench walls 118 and, particularly, into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet, in order to form oxynitride STI fill corners 130 . Due to this thermal nitridation process 128 , the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the STI fill margins 117 lower in the STI trench 136 because the nitrogen concentration dissipates as the gas flows deeper into the trench 136 (see FIG. 16 ).
  • Both the oxynitride STI fill top layer 126 and the oxynitride STI fill corners 130 are effective at preventing erosion of the STI fill 110 , including the loss of STI fill height 122 and divot 120 formation caused by further processing of the semiconductor device (see FIGS. 5-6 ).
  • the thermal nitridation process 128 is complete, the pad nitride layer 102 and pad oxide layer 104 , are stripped away from the silicon substrate 106 , as discussed above (see FIG. 18 ).
  • the sequence of the plasma nitridation process (see FIG. 8 ) and the thermal nitridation process see FIG.
  • a plasma nitridation process can be used to nitridize the upper portion of the STI fill 110 through the STI fill top surface 112 to form an oxynitride STI fill top layer 126 of either the STI structure 100 of FIG. 10 or the STI structure 200 of FIG. 18 .
  • Plasma nitridation 124 is the preferred nitridation process because this process is capable of adding nitrogen into silicon dioxide to create an oxynitride.
  • a property of plasma nitridation is that this nitrogen incorporation can be preferentially placed, such that nitrogen can be incorporated at and near an exposed surface of an oxide (e.g., top surface 112 of STI fill oxide 110 ) as can be seen in FIG. 19 b .
  • thermal nitridation techniques 128 which are not able to nitridize exposed oxide surfaces, but instead nitridize the STI fill margins 117 adjacent the silicon trench walls 118 (i.e., the buried surfaces of the STI fill 110 at the Si/SiO 2 interfaces as illustrated in FIG. 19 a ).
  • Si/SiO 2 interfaces occur at the junctions between the silicon trench walls 118 and the STI silicon dioxide fill margins 117 .
  • the oxynitride STI fill top layer 126 resulting from the plasma nitridation process is more resistant to etching in HF than a pure silicon dioxide fill would be.
  • the chamber pressure can be held between approximately 5 mtorr and 80 mtorr.
  • the high frequency power can range between approximately 300 watts and 1500 watts.
  • the Nitrogen flow can be set at between approximately 10 standard centimeters cubed per minute (sccm) and 1000 sccm and the Helium flow can be set between approximately 0 sccm and 1000 sccm.
  • the processing time for the plasma nitridation can be approximately 10 and 100 seconds. Varying sources of nitrogen may be used, such as molecular N 2 , ammonia (NH 3 ), etc.
  • the plasma nitridation process 124 must be immediately followed by a high temperature anneal 127 (see FIGS. 9 and 17 ), unless it precedes thermal nitridation 128 .
  • the thermal anneal 127 ensures that the nitrogen from the plasma is incorporated into the oxynitride top layer 126 and also anneals out defects created during the plasma process.
  • the annealing process 127 is conducted at a temperature between approximately 800° C. and 1100° C.
  • the nitrogen (e.g., N 2 ) flow is set between approximately 1 standard liters per minutes (slm) and 10 slm and/or the oxygen (e.g., O 2 ) flow is set between 1 slm and 10 slm.
  • the chamber pressure is set to range from approximately 50 Torr to 760 Torr.
  • the processing time is between approximately 5 and 600 seconds.
  • the high temperature anneal may be eliminated when the thermal nitridation is performed at temperatures higher 800 C.
  • a thermal nitridation process 128 can be used to nitridize the STI fill margins 117 adjacent to the silicon trench walls 118 and particularly, to incorporate additional nitrogen into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet in order to form oxynitride STI fill corners 130 of the STI structure 200 of FIG. 18 .
  • the STI fill 110 can be treated with a high temperature thermal nitridation in the form of a gas such as NH 3 , NO or N2O.
  • This thermal nitridation process 128 will convert the STI fill margins 117 adjacent to the silicon trench walls 118 to an oxynitride, as shown in FIG. 16 and FIG. 17 a .
  • the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the other regions of STI fill margins 117 lower in the STI trench 136 because the nitrogen concentration dissipates as the gas flows deeper into the trench 136 .
  • Combining thermal nitridation 128 with plasma nitridation 124 results in a STI structure, as shown in FIG. 18 , with reinforced oxynitride STI fill corners 130 and an oxynitride STI fill top layer 126 .
  • the combined oxynitride features 126 , 130 are particularly effective in resisting the formation of divots 120 (see FIG. 5 ).
  • the STI fill 110 is typically exposed to any one of NH3, NO, N 2 O or a nitrogen radical species at temperatures ranging between approximately 600° C. and 1100° C. and pressures ranging between approximately 50 Torr and 760 Torr.
  • STI fill height 122 i.e., thickness
  • STI fill height 122 i.e., thickness
  • the divot 120 caused by an HF etching process in a nitrided STI fill top layer 126 is substantially less pronounced and does not go below the level of the top surface 116 of the silicon substrate 106 , as compared to the divot 120 of the non-nitrided STI fill of FIG. 5 .
  • the height loss of the STI fill 110 does not reduce the level of the top surface 112 of the STI fill 110 to below the top surface 116 of the silicon substrate 106 .
  • plasma and/or thermal nitridation processes can be performed at different stages in the semiconductor device fabrication process, such as after the polysilicon gate has been patterned, to reduce the HF etch rate.
  • shallow trench isolation (STI) structures for a semiconductor device and a method for forming the STI structures are disclosed.
  • the STI structures incorporate an STI oxynitride top layer and, optionally, oxynitride STI fill corners in the region of the STI margins adjacent the upper edges of the silicon trench walls. Oxynitrides, so positioned, limit the STI fill height loss and also, reduce the formation of divots in the STI fill below the level of the silicon substrate, caused by HF etching and other fabrication process. Limiting STI fill height loss and the formation of divots improves the functions of the STI structure.
  • the method of forming the STI structure disclosed herein is particularly compatible with standard semiconductor device fabrication processes, including chemical mechanical polishing (CMP), because it incorporates the use of a pure silicon dioxide STI fill and a plasma nitridation process to incorporate nitrogen into the top layer of the STI fill.
  • CMP chemical mechanical polishing

Abstract

A method and structure for an improved shallow trench isolation (STI) structure for a semiconductor device. The STI structure incorporates an oxynitride top layer of the STI fill. Optionally, the STI structure incorporates an oxynitride margin of the STI fill adjacent the silicon trench walls. A region of the oxynitride margin near the upper edges of the silicon trench walls includes oxynitride corners that are relatively thicker and contain a higher concentration of nitrogen as compared to the other regions of the oxynitride margin. The oxynitride features limit the STI fill height loss and also reduce the formation of divots in the STI fill below the level of the silicon substrate cause by hydrofluoric acid etching and other fabrication processes. Limiting STI fill height loss and the formation of divots improves the functions of the STI structure. The method of forming the STI structure is particularly compatible with standard semiconductor device fabrication processes, including chemical mechanical polishing (CMP), because the method incorporates the use of a pure silicon dioxide STI fill and plasma and thermal nitridation processes to form the oxynitride top layer and oxynitride margin, including the oxynitride corners, of the STI fill.

Description

FIELD OF THE INVENTION
The present invention relates generally to a shallow trench isolation (STI) structure of a semiconductor device and method for forming an improved shallow trench isolation structure incorporating a pure silicon dioxide STI fill with an oxynitride top layer.
DESCRIPTION OF THE RELATED ART
Shallow Trench Isolation (STI) is used to electrically isolate semiconductor devices that make up an integrated circuit (IC). STI minimizes unwanted current leakage between the semiconductor devices, which can affect the performance of each semiconductor device. Generally, STI structures are formed by etching trenches into a silicon substrate. These trenches are then filled with an insulating dielectric STI fill such as, silicon dioxide (SiO2). The STI structures are formed early in the semiconductor-integrated circuit fabrication process flow. Therefore, the STI fill is exposed to many additional fabrication processes. These additional fabrication processes include many exposures to hydrofluoric acid (HF). The HF is used to etch away unwanted material, such as silicon dioxide, from exposed surfaces. However, in addition to etching away unwanted material, the HF also erodes away silicon dioxide STI fill. The erosion of the STI silicon dioxide fill occurs in two ways. First, the HF can decrease the overall height of the STI silicon dioxide fill. Second, the HF erodes more STI silicon dioxide fill from around the edges of the top surface of the STI fill. This increased erosion around the edges of the top surface of the STI fill results in the formation of a “divot” between the edges of the top surface of the STI fill and the top surface of the silicon trench walls. The reduction in the overall height of the STI fill and the formation of a divot around the edges of the top surface of the STI fill reduces the effectiveness of the STI structure and can result in a variety of possible circuit failures and/or changes in performance.
One method of minimizing the loss (i.e., erosion) of the STI silicon dioxide fill is to reduce the amount of HF exposure to the minimum amount necessary to achieve a robust integrated circuit fabrication process. However, if HF exposure is already minimized and the erosion still occurs, changes may be made to the STI structure. For example, the composition of the STI fill may be changed to include a dielectric other than pure silicon dioxide in order to reduce the etch rate of the HF. Oxynitride films (e.g., SiOxNy) etch more slowly in HF than SiO2, so methods to create oxynitride features in an STI structure can reduce STI fill height loss and divot formation. Nitrogen ion implantation into the STI silicon dioxide fill is one method of creating an oxynitride (see U.S. Pat. No. 5,316,965 issued to Philipossian et al. on May 31, 1994 and incorporated herein by reference). However, because of the relatively high energy and dose of this implant, the resulting implant damage can actually result in an increased etching rate. Thermal Nitridation of the STI silicon dioxide fill is another method of including an oxynitride in the STI structure (see U.S. Pat. No. 5,811,347 issued to Gardner et al. on Sep. 22, 1998 and incorporated herein by reference). Thermal nitridation is accomplished by exposing a semiconductor wafer to a nitrogen containing gas such as, ammonia (NH3), nitric oxide (NO), or nitrous oxide (N2O), at high temperatures. However, this type of nitridation results in nitrogen incorporation primarily at the silicon trench wall and STI silicon dioxide fill interfaces to form an oxynitride trench liner, which does not protect the top portion of the STI fill from erosion. Another method of including an oxynitride in the STI structure is by directly depositing an oxynitride film into the STI trenches (see U.S. Pat. No. 6,498,383 issued to Beyer et al. on Dec. 24, 2002 and incorporated herein by reference). However, since most common STI fabrication processes are optimized for conformal deposition and chemical mechanical polishing (CMP) of pure silicon dioxide, directly depositing an oxynitride in the STI structure can be problematic in terms of the fill properties and polishing characteristics. Specifically, depositing an oxynitride into the STI trench, as opposed to pure silicon dioxide, may interfere with the chemical mechanical polishing (CMP) process used to remove excess STI fill oxide and to planarize the STI fill (e.g., such that it is approximately level with the pad nitride). The invention described below addresses these issues by providing an STI structure, and a method for forming the STI structure, in which a pure silicon dioxide material is used to fill the shallow trench structure in order to facilitate polishing and in which the upper portion of the silicon dioxide fill, and optionally, the upper STI fill margins, where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet, are doped with nitrogen to prevent erosion.
SUMMARY OF THE INVENTION
This disclosure presents a shallow trench isolation structure (STI) for a semiconductor device. Specifically, it presents an improved shallow trench isolation structure comprising a pure silicon dioxide STI fill with an oxynitride top layer and, optionally, incorporates additional nitrogen in the region of the upper STI fill margins, where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet (i.e., oxynitride STI fill margins).
In embodiments of this structure, a pure silicon dioxide-filled isolation trench is formed in a silicon layer of a silicon-on-insulator (SOI) or bulk substrate. The upper portion of the silicon dioxide fill undergoes a plasma nitridation process to form an oxynitride top layer. The oxynitride top layer of the STI fill extends both above and below the level of the top surface of the silicon layer. The oxynitride top layer of the STI fill reduces the erosion of the STI fill caused by further processing of the semiconductor device. Optionally, the STI fill is thermally nitridized after the plasma nitridation process to incorporate additional nitrogen into the STI fill margins and, particularly, in the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners. The oxynitride STI fill corners significantly reduces formation of divots.
This disclosure also presents a method of forming the shallow trench isolation structure, as described above. A multi-layered stack is formed comprising a pad nitride layer on a pad oxide layer on a silicon substrate layer (e.g., either an SOI substrate or a bulk substrate). A patterned photo-resist layer is formed on the pad nitride layer using a photolithography pattern in order to create a window defining the STI structure location. Then, using a reactive ion etching (RIE) process, a shallow isolation trench is etched through the window, nitride and pad oxide layers, and into the silicon layer. After the photo-resist layer is removed, the exposed silicon surface in the trench is thermally oxidized to passivate the surface. Silicon dioxide is deposited over the pad nitride layer and into the shallow isolation trench by chemical vapor deposition (CVD). Then, the silicon dioxide is planarized by CMP, so that the silicon dioxide fill in the shallow isolation trench is approximately level with the top surface of the pad nitride layer. Optionally, HF etching can be performed to adjust the STI fill height and also to remove any residual STI fill oxide on the pad nitride layer. At this point, the top surface of the wafer and particularly the STI fill oxide is subjected to nitrogen plasma to nitridize the top portion of the STI fill oxide to form an oxynitride top layer. The pad nitride layer and the pad oxide layer prevent nitridation of the silicon surface in device area of the wafer. After the plasma nitridation process, thermal anneal is performed to complete the nitridation of STI fill oxide and also to remove any damages created during the plasma nitridation process. The thermal anneal may be performed in NH3, NO, or N2O ambient to incorporate additional nitrogen (i.e., by thermal nitridation) into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners. The pad nitride and the pad oxide are then etched off to complete the STI fabrication process. Optionally, the pad nitride layer and the pad oxide layer may be removed before the plasma nitridation process. However, the silicon surface will also be nitridized during the plasma nitridation process and some of nitrogen may be incorporated in the gate dielectric during a gate oxidation process. The oxynitride STI fill top layer formed by the plasma nitridation process and subsequent thermal anneal is effective at minimizing overall STI fill erosion caused by various fabrication processing steps for forming semiconductor device. The additional nitrogen incorporation into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners reduces the formation of divots.
These, and other, aspects of the present invention will be better appreciated and understood when considered in conjunction with the following description and the accompanying drawings. It should be understood, however, that the following description, while indicating preferred embodiments of the present invention and numerous specific details thereof, is given by way of illustration and not of limitation. Many changes and modifications may be made within the scope of the present invention without departing from the spirit thereof, and the invention includes all such modifications.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention will be better understood from the following detailed description with reference to the drawings, in which:
FIG. 1 is a schematic diagram cross-sectional view diagram of a partially completed shallow trench isolation structure;
FIG. 2 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 3 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 4 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIGS. 5-6 are schematic cross-sectional view diagrams of completed STI structures following hydrofluoric acid (HF) etching processes;
FIG. 7 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 8 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 9 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 10 is a schematic cross-sectional view diagram of a completed STI structure;
FIG. 11 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 12 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 13 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 14 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 15 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 16 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 17 is a schematic cross-sectional view diagram of a partially completed STI structure;
FIG. 18 is a schematic cross-sectional view diagram of a completed STI structure;
FIG. 19 a is a schematic cross-sectional nitrogen concentration profile;
FIG. 19 b is a schematic cross-sectional nitrogen concentration profile;
FIG. 20 is graph illustrating percentage of STI oxide fill loss due to HF etching for different STI structures formed using varying Radio Frequency (RF) powers (Watt) for the plasma nitridation process; and,
FIGS. 21 and 22 are schematic cross-sectional view diagrams of completed STI structures following HF etching processes.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
The present invention and the various features and advantageous details thereof are explained more fully with reference to the non-limiting embodiments that are illustrated in the accompanying drawings and detailed in the following description. It should be noted that the features illustrated in the drawings are not necessarily drawn to scale. Descriptions of well-known components and processing techniques are omitted so as to not unnecessarily obscure the present invention. The examples used herein are intended merely to facilitate an understanding of ways in which the invention may be practiced and to further enable those of skill in the art to practice the invention. Accordingly, the examples should not be construed as limiting the scope of the invention.
Referring to FIGS. 1-6 in combination, conventional shallow trench isolation (STI) structures are formed by etching trenches 136 of a depth of approximately 10-700 nm (shallower for SOI substrates and deeper for bulk substrates) through a multi-layered stack 105 (e.g., an approximately 5-200 nm thick pad nitride 102 on an approximately 5-50 nm thick pad oxide 104 on a silicon substrate 106 on a buried oxide (BOX) 108, etc. (see FIG. 1) and extending into the silicon substrate 106 in order to separate semiconductor device active areas. The pad nitride layer 102 protects the underlying layers, during various process steps used to form the STI structures (see FIG. 1). After the removal of photo-resist, the exposed silicon surfaces are thermally oxidized to form 1-10 nm thick silicon dioxide layer. The trenches 136 are filled with an insulating dielectric (i.e., STI fill 110), specifically, silicon dioxide (SiO2) (see FIG. 2). The STI fill 110 deposition thickness may vary and can be approximately 20-1000 nm. Using conventional methods for forming the STI structures, a chemical mechanical planarization (CMP) process is used to level the top surface 112 of the STI fill 110 with the top surface 114 of the pad nitride 102 (see FIG. 3). A high temperature thermal anneal can be performed to increase the density of the STI fill oxide 110. Also, optionally, HF etching can be performed to adjust the STI fill 110 height and to remove any residual STI fill oxide on top of the pad nitride 102. The pad nitride 102 is then stripped from the pad oxide 104 using a hot solution of phosphoric acid. The pad oxide 104 is also stripped away from the silicon substrate 106 often with a hydrofluoric acid (HF). Ideally, referring to FIG. 4, the resulting STI structure comprises a complete STI fill 110 having an upper portion 112 that extends slightly above the top surface 116 of the exposed silicon substrate 106. However, because the STI structures are formed early in the semiconductor device fabrication process flow and the STI fill 110 is exposed to many additional fabrication processes, the resulting STI fill 110 is often not complete. For example, when HF is used to etch away the pad oxide 104, the HF also erodes away the STI silicon dioxide fill 110.
Referring to FIGS. 5-6, the final shape of the STI structure depends on the starting height of the STI fill 110 relative to the silicon substrate 106, the specific integration, and the amount of HF exposure. As illustrated in FIG. 6, the HF can decrease the overall height 122 of the STI silicon dioxide fill 110. As illustrated in FIG. 5, once the pad nitride 102 is removed, the HF etch process can erode more STI silicon dioxide fill 110 from around the edges of the top surface 112 of the STI fill 110. This increased erosion around the edges of the top surface 112 of the STI fill 110 results in the formation of a “divot” 120 between the edges of the top surface 112 of the STI fill 110 and the edges on the top surface 116 of the silicon substrate 106 correspond to the silicon trench walls 118. The reduction in the overall height 122 of the STI fill 110 and the formation of a divot 120 around the edges of the top surface 112 of the STI fill 110 reduces the effectiveness of the STI structure and can result in defect formation.
Thus, the invention provides an improved shallow trench isolation structure (STI) for a semiconductor device. Specifically, it presents an improved shallow trench isolation structure comprising a pure silicon dioxide STI fill with an oxynitride top layer formed by a plasma nitridation process and thermal anneal and, optionally, incorporates additional nitrogen (e.g., by thermal NO, NH3 or N2O nitridation) into the STI fill margins adjacent the silicon trench walls and, particularly, into the region of the STI fill margins where the silicon dioxide STI fill and the upper edges of the silicon trench walls meet to form oxynitride STI fill corners. The oxynitrides, so positioned, limit STI fill height loss and also reduce the formation of divots in the STI fill below the level of the top surface of the silicon substrate caused by HF etching and other fabrication process. Limiting STI fill height loss and the formation of divots improves the functions of the STI structure and the process yield. The method of forming the STI structure is compatible with standard fabrication processes, particularly with chemical mechanical polishing (CMP), because it incorporates the use of a pure silicon dioxide STI fill and a plasma nitridatiton of the top layer of the STI fill after CMP.
Referring to FIGS. 10 and 18, embodiments of the present semiconductor device shallow trench isolation structure 100, 200, as illustrated in FIGS. 10 and 18, respectively, comprises an isolation trench 136 incorporating a pure silicon dioxide fill (STI fill 110) having an oxynitride STI fill top layer 126 and, optionally, oxynitride STI fill corners 130, in the region where the STI fill oxide 110 and the upper edges 119 of the silicon trench walls 118 meet (see FIG. 18). These oxynitride features 126, 130 of the STI structures 100, 200 effectively reduce the erosion of the STI fill oxide 110. An isolation trench 136 is formed in a silicon layer 106 of a silicon-on-insulator (SOI) or bulk substrate structure 106. The isolation trench 136 is filled with a pure silicon dioxide fill (i.e., STI fill 110) and the top surface 112 of the STI fill 110 undergoes a plasma nitridation process that incorporates nitrogen into the upper portion of the STI fill oxide 110 to form an oxynitride STI fill top layer 126. The depth of the nitridized STI fill top layer 126 is such that the oxynitride extends both above and below the level of the top surface 116 of the silicon layer 106. Additionally, as shown in the structure 200 of FIG. 18, nitrogen is incorporated into the STI fill margins 117 adjacent the silicon trench walls 118 and, particularly, into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet to form oxynitride STI fill corners 130. Due to the thermal nitridation process (e.g., a 800 C-1000 C thermal anneal with NO, NH3 or N2O gas applied to the STI fill 110 after the plasma nitridation process), the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the STI fill margins 117 lower in the STI trench 136. Both the oxynitride STI fill top layer 126 alone and the oxynitride STI fill corners 130 are effective at preventing erosion of the STI fill 110, including the loss of STI fill height 122 and divot 120 formation caused by further processing of the semiconductor device (see FIGS. 5-6).
Referring to FIGS. 1-4, in each embodiment of the method, an isolation trench 136 is formed in a multi-layered stack 150, wherein the multi-layered stack 150 comprises a pad nitride layer 102 on a pad oxide layer 104 on a silicon substrate 106 on a buried oxide layer 108. The trench 136 comprises silicon trench walls 118 and is filled with a silicon dioxide fill 110. Specifically, referring to FIG. 1, the process of forming the silicon dioxide-filled trench includes forming the multi-layered stack 105. A silicon substrate 106 (e.g., SOI substrate or bulk substrate) is formed on a buried oxide layer 108. A pad oxide layer 104 is grown on top of the silicon substrate 106. A pad nitride layer 102 is then deposited on top of the pad oxide 104. A patterned photo-resist layer is formed on the pad nitride layer 102, using a photolithography pattern in order to create a window defining the STI structure location. Then, using a reactive ion etching (RIE) process shallow isolation trench 136 is etched through the window, nitride and pad oxide layers 102, 104 and into the silicon substrate 106. Once the trench 136 is etched, the photo-resist layer is removed. After stripping the photo-resist, the exposed silicon surfaces in the trench 136 are thermally oxidized to form 1-10 nm silicon dioxide. The oxidation passivates the silicon surface (i.e., reduces the surface defects by making it inactive or less reactive). Referring to FIG. 2, a pure silicon dioxide STI fill 110 of appropriate thickness (e.g., approximately 20-1000 nm) is then deposited over the pad nitride layer 102 to completely fill the entire trench 136 (see FIG. 2). Referring to FIG. 3, once the trench 136 is filled with the silicon dioxide STI fill 110, a chemical mechanical polishing (CMP) process is performed to remove the excess silicon dioxide that is deposited on top of the pad nitride 102 such that the top surface 112 of the STI fill 110 is level with the top surface 114 of the pad nitride layer 102. The pad nitride layer 102 serves as a stopping layer during the CMP process. An optional process includes using hydrofluoric acid HF or another etchant to remove any residual silicon dioxide from the pad nitride layer top surface 114 to prepare for the pad nitride layer 102 removal process (discussed below) and also to adjust the STI fill oxide height 122.
After forming the preliminary STI structure of FIG. 3, the method of forming a shallow trench isolation structure of the present invention with the oyxnitride STI fill oxide features (e.g., oxynitride top layer 126 (see FIG. 10) and, optionally, oxynitride corners 130 (see FIG. 18)) can be accomplished according to alternative method embodiments. There are three alternative method embodiments for forming an STI structure 100 (see FIG. 10) in which primarily the top surface 112 of the STI fill 110 is subjected to a plasma nitridation process to form an oxynitride STI fill top layer 126 in the upper portion of the STI fill 110. The differences between these embodiments reflect different sequences by which the method processes are performed. Once the plasma nitridation process 124 is performed, the nitridation process should be completed with a high temperature thermal anneal 127 (see FIG. 9, discussed below) to form the completed STI structure 100 of FIG. 10. This thermal anneal 127 will also repair plasma damages. There is an additional method embodiment for forming an STI structure 200 (see FIG. 18) in which a thermal nitridation process 128 is performed in addition to the plasma nitridation process 124. The thermal nitridation process 128 is performed in order to form oxynitride STI fill margins 117 and, particularly, to form oxynitride STI fill corners 130 in the region of the STI fill margins 117 adjacent the upper edges 119 of the silicon trench walls 118. The oxynitride STI fill corners 130 are formed such that they are thicker and contain a higher concentration of nitrogen as compared to the other regions of the STI fill margins 117.
In one embodiment of forming the completed STI structure 100 of FIG. 10, once the structure of FIG. 3 is formed, a plasma nitridation process 124 can be used to incorporate nitrogen into the upper portion of the STI fill oxide 110 through top surface 112 to convert the upper portion into an oxynitride STI fill top layer 126 (see FIGS. 7-8). The plasma nitridation process 124 is explained in greater detail below. After forming the nitridized STI fill top layer 126, the pad nitride layer 102 is stripped, e.g., by using a hot solution of phosphoric acid, etc., and the pad oxide layer 104 is also stripped, e.g., by using an HF etching process, etc., to form the preliminary STI structure of FIG. 9. Stripping the pad oxide layer 104 provides a clean silicon surface to grow an additional sacrificial pad oxide layer (not shown) which serves to protect the underlying substrate during additional semiconductor device fabrication processes (e.g., through the well formation ion implantation sequences). Alternatively, once the structure of FIG. 3 is formed, the pad nitride 102 can be removed from the pad oxide 104, e.g., by using a hot solution of phosphoric acid, etc., (see FIG. 11). Then, a plasma nitridation process 124 can be applied to the top surface 112 of the STI fill 110 to convert the upper portion of the STI fill oxide 110 into an oxynitride STI fill top layer 126 (see FIGS. 12-13). Once the plasma nitridation process 124 is complete, the pad oxide 104 can be removed from the silicon substrate 106, e.g., by using an HF etching process, etc. (see FIG. 9). Alternatively, once the structure of FIG. 3 is formed, the pad nitride 102 and the pad oxide 104 may be removed (see FIG. 4), as discussed above, prior to subjecting the STI fill oxide 110 to a plasma nitridation process 124 (see FIGS. 14-15 and 9). After the plasma nitridation process 124 is performed, the nitridation process should be completed with a high temperature thermal anneal 127 (see FIG. 9, discussed below) to form the completed STI structure 100 of FIG. 10.
During the plasma nitridation process 124, the upper portion of the STI fill 110 is nitridized through the top surface 112 to a depth such that the thickness (i.e., depth) of the resulting oxynitride STI fill top layer 126 extends above and below the level of the silicon substrate top surface 116. Varying the order of the processes (e.g., plasma nitridation and stripping of the pad nitride 102 and pad oxide 104 layers), may result in a decrease in the height of the STI fill 110 and, thus, effectively increase the depth of the oxynitride STI fill top layer 126 to a greater degree below the level of the silicon substrate top surface 116. For example, in the embodiments in which the plasma nitridation process 124 is performed after the pad nitride layer 102 has been stripped (see FIG. 12 or 14). Stripping the pad nitride 102, will reduce the height of the STI fill 110 relative to the level of the top surface 116 of the silicon substrate 106. Thus, subjecting the STI fill 110 to a plasma nitridation process 124, after the pad nitride layer 102 is stripped, will incorporate more nitrogen into the STI fill 110 below the level of the silicon layer top surface 116. This is particularly advantageous to minimize STI divot 120 formation, as illustrated in FIG. 6, because the nitrogen concentration at the edges of the oxynitride STI fill top layer 126 will reduce the etch rate from HF exposure.
In an embodiment of forming the STI structure 200 of FIG. 18, upon completion of the CMP process forming the structure of FIG. 3, the top surface 112 of the STI fill 110 is subjected to a plasma nitridation process 124 (see FIGS. 7-8) to form an oxynitride STI fill top layer 126 (see FIG. 8) having a thickness that extends both above and below the level of the top surface 116 of the silicon substrate 106. In addition to the plasma nitridation process 124, a thermal nitridation process 128 (e.g., 800 C-1000 C anneal in NO, NH3 or N2O gas) is performed (see FIG. 16). This thermal nitridation process 128 incorporates additional nitrogen into the STI fill margins 117 adjacent the silicon trench walls 118 and, particularly, into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet, in order to form oxynitride STI fill corners 130. Due to this thermal nitridation process 128, the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the STI fill margins 117 lower in the STI trench 136 because the nitrogen concentration dissipates as the gas flows deeper into the trench 136 (see FIG. 16). Both the oxynitride STI fill top layer 126 and the oxynitride STI fill corners 130 are effective at preventing erosion of the STI fill 110, including the loss of STI fill height 122 and divot 120 formation caused by further processing of the semiconductor device (see FIGS. 5-6). Once the thermal nitridation process 128 is complete, the pad nitride layer 102 and pad oxide layer 104, are stripped away from the silicon substrate 106, as discussed above (see FIG. 18). The sequence of the plasma nitridation process (see FIG. 8) and the thermal nitridation process (see FIG. 16) can be switched without significantly changing the results; however, if plasma nitridation 124 is performed after thermal nitridation 128, an additional high temperature thermal anneal 127 (see FIG. 17) is required to complete plasma nitridation and to remove plasma damages.
As stated above, a plasma nitridation process can be used to nitridize the upper portion of the STI fill 110 through the STI fill top surface 112 to form an oxynitride STI fill top layer 126 of either the STI structure 100 of FIG. 10 or the STI structure 200 of FIG. 18. Plasma nitridation 124 is the preferred nitridation process because this process is capable of adding nitrogen into silicon dioxide to create an oxynitride. Specifically, a property of plasma nitridation is that this nitrogen incorporation can be preferentially placed, such that nitrogen can be incorporated at and near an exposed surface of an oxide (e.g., top surface 112 of STI fill oxide 110) as can be seen in FIG. 19 b. This is in contrast to thermal nitridation techniques 128 which are not able to nitridize exposed oxide surfaces, but instead nitridize the STI fill margins 117 adjacent the silicon trench walls 118 (i.e., the buried surfaces of the STI fill 110 at the Si/SiO2 interfaces as illustrated in FIG. 19 a). Si/SiO2 interfaces occur at the junctions between the silicon trench walls 118 and the STI silicon dioxide fill margins 117. The oxynitride STI fill top layer 126 resulting from the plasma nitridation process is more resistant to etching in HF than a pure silicon dioxide fill would be. During the plasma nitridation process 124, the chamber pressure can be held between approximately 5 mtorr and 80 mtorr. The high frequency power can range between approximately 300 watts and 1500 watts. The Nitrogen flow can be set at between approximately 10 standard centimeters cubed per minute (sccm) and 1000 sccm and the Helium flow can be set between approximately 0 sccm and 1000 sccm. The processing time for the plasma nitridation can be approximately 10 and 100 seconds. Varying sources of nitrogen may be used, such as molecular N2, ammonia (NH3), etc.
Also, as stated above, the plasma nitridation process 124 must be immediately followed by a high temperature anneal 127 (see FIGS. 9 and 17), unless it precedes thermal nitridation 128. The thermal anneal 127 ensures that the nitrogen from the plasma is incorporated into the oxynitride top layer 126 and also anneals out defects created during the plasma process. Specifically, the annealing process 127 is conducted at a temperature between approximately 800° C. and 1100° C. The nitrogen (e.g., N2) flow is set between approximately 1 standard liters per minutes (slm) and 10 slm and/or the oxygen (e.g., O2) flow is set between 1 slm and 10 slm. The chamber pressure is set to range from approximately 50 Torr to 760 Torr. The processing time is between approximately 5 and 600 seconds. The high temperature anneal may be eliminated when the thermal nitridation is performed at temperatures higher 800 C.
Also, as stated above, a thermal nitridation process 128 (see FIG. 16) can be used to nitridize the STI fill margins 117 adjacent to the silicon trench walls 118 and particularly, to incorporate additional nitrogen into the region of the STI fill margins 117 where the silicon dioxide STI fill 110 and the upper edges 119 of the silicon trench walls 118 meet in order to form oxynitride STI fill corners 130 of the STI structure 200 of FIG. 18. Specifically, prior to stripping the pad nitride, the STI fill 110 can be treated with a high temperature thermal nitridation in the form of a gas such as NH3, NO or N2O. This thermal nitridation process 128 will convert the STI fill margins 117 adjacent to the silicon trench walls 118 to an oxynitride, as shown in FIG. 16 and FIG. 17 a. Due to the thermal nitridation process, the oxynitride STI fill corners 130 are thicker and contain a higher concentration of nitrogen relative to the other regions of STI fill margins 117 lower in the STI trench 136 because the nitrogen concentration dissipates as the gas flows deeper into the trench 136. Combining thermal nitridation 128 with plasma nitridation 124 results in a STI structure, as shown in FIG. 18, with reinforced oxynitride STI fill corners 130 and an oxynitride STI fill top layer 126. The combined oxynitride features 126, 130 are particularly effective in resisting the formation of divots 120 (see FIG. 5). During the thermal nitridation process 128, the STI fill 110 is typically exposed to any one of NH3, NO, N2O or a nitrogen radical species at temperatures ranging between approximately 600° C. and 1100° C. and pressures ranging between approximately 50 Torr and 760 Torr.
Referring to FIG. 20, experimental data was collected on STI fill height 122 (i.e., thickness) loss from HF treatment for STI shallow trench isolation structures, as illustrated in FIG. 10, having an oxynitride STI fill top layer 126 that was formed using plasma nitridation treatments of varying plasma powers. Higher plasma power results in an increase in nitrogen concentration within the oxynitride STI fill top layer 126 and, thereby, reduces the percentage of STI fill loss due to the HF etching process. Thus, increasing the concentration of nitrogen incorporated into the upper portion of the STI fill oxide 110 through the top surface 112, creates a more etch resistant oxynitride STI fill top layer 126 and reduces the rate of STI fill height loss. For example, the divot 120 caused by an HF etching process in a nitrided STI fill top layer 126, as illustrated in FIG. 21, is substantially less pronounced and does not go below the level of the top surface 116 of the silicon substrate 106, as compared to the divot 120 of the non-nitrided STI fill of FIG. 5. Similarly, the height 122 of an STI fill 110 of an STI structure 200 as illustrated in FIG. 22, having an oxynitride STI fill top layer 126 formed by plasma nitridation and oxynitride STI fill corners 130 formed by thermal nitridation, after being subjected to an HF etching process is significantly greater than that of the non-nitrided STI fill 110 of FIG. 6. More particularly, the height loss of the STI fill 110, as illustrated in FIG. 22, does not reduce the level of the top surface 112 of the STI fill 110 to below the top surface 116 of the silicon substrate 106.
In addition to the method embodiments described above, plasma and/or thermal nitridation processes can be performed at different stages in the semiconductor device fabrication process, such as after the polysilicon gate has been patterned, to reduce the HF etch rate.
Therefore, shallow trench isolation (STI) structures for a semiconductor device and a method for forming the STI structures are disclosed. The STI structures incorporate an STI oxynitride top layer and, optionally, oxynitride STI fill corners in the region of the STI margins adjacent the upper edges of the silicon trench walls. Oxynitrides, so positioned, limit the STI fill height loss and also, reduce the formation of divots in the STI fill below the level of the silicon substrate, caused by HF etching and other fabrication process. Limiting STI fill height loss and the formation of divots improves the functions of the STI structure. In order to keep fabrication costs low, the method of forming the STI structure disclosed herein is particularly compatible with standard semiconductor device fabrication processes, including chemical mechanical polishing (CMP), because it incorporates the use of a pure silicon dioxide STI fill and a plasma nitridation process to incorporate nitrogen into the top layer of the STI fill. While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (12)

1. A semiconductor trench isolation structure comprising:
a silicon substrate;
an isolation trench in said silicon substrate; and,
a single layer of silicon dioxide completely filling said isolation trench,
wherein said single layer comprises an oxynitride upper portion converted from the upper portion of the single layer of silicon dioxide above an oxide lower portion and wherein said oxynitride upper portion extends both above and below a level of a top surface of said silicon substrate.
2. The structure according to claim 1,
wherein said isolation trench comprises silicon trench walls having an upper edge abutting said top surface and
wherein said oxynitride upper portion extends both above and below said upper edge of said silicon trench walls.
3. The structure according to claim 2,
wherein said single layer further comprises an oxynitride margin and an oxynitride corner,
wherein said oxynitride margin comprises an upper region, extending from said upper edge of said silicon trench walls to a depth below said oxynitride upper portion, and a lower region below said upper region,
wherein said upper region of said oxynitride margin is relatively thicker and has a relatively higher nitride concentration than said lower region of said oxynitride margin, and
wherein said oxynitride corner comprises overlapping sections of said oxynitride upper portion and said upper region of said oxynitride margin such that said oxynitride corner is reinforced with a relatively higher nitride concentration than any other portion of said single layer.
4. A semiconductor trench isolation structure comprising:
a silicon substrate;
an isolation trench in said silicon substrate; and,
a single layer of silicon dioxide completely filling said isolation trench,
wherein said isolation trench comprises a bottom surface and silicon trench walls with an upper edge, and
wherein said single layer comprises:
an oxynitride upper portion converted from the upper portion of the single layer of silicon dioxide;
an oxynitride margin adjacent to said silicon trench walls and extending from said bottom surface to said upper edge;
an oxynitride corner comprising overlapping sections of said oxynitride upper portion and an upper region of said oxynitride margin such that said oxynitride corner is reinforced with a relatively higher nitride concentration than any other portion of said single layer; and
an oxide lower portion below said oxynitride upper portion and adjacent a lower region of said oxynitride margin, wherein said oxynitride upper portion extends both above and below a level of a top surface of said silicon substrate.
5. The structure according to claim 4,
wherein said upper edge abuts said top surface of said silicon substrate and
wherein said oxynitride upper portion extends both above and below said upper edge of said silicon trench walls.
6. The structure according to claim 4,
wherein said upper region of said oxynitride margin extends from said upper edge of said silicon trench walls to a depth below said oxynitride upper portion and wherein said upper region of said oxynitride margin is further relatively thicker and has a relatively higher nitride concentration than said lower region of said oxynitride margin.
7. A semiconductor trench isolation structure comprising:
a substrate;
an isolation trench in a substrate; and,
a single layer of dielectric fill material completely filling said isolation trench,
wherein said single layer comprises a damage-free plasma doped upper portion above an undoped portion, wherein said plasma doped upper portion extends both above and below a level of a top surface of said substrate, and wherein said plasma doped upper portion comprises nitrogen.
8. The structure according to claim 7,
wherein said isolation trench comprises trench walls having an upper edge abutting said top surface and
wherein said plasma doped upper portion extends both above and below said trench walls.
9. The structure according to claim 8,
wherein said single layer further comprises a thermally doped margin, comprising nitrogen, and a reinforced corner,
wherein said thermally doped margin comprises an upper region, extending from said upper edge to a depth below said plasma doped upper portion, and a lower region below said upper region,
wherein a concentration of said nitrogen is graded between said upper region of said thermally doped margin and said lower region of said thermally doped margin,
wherein said upper region of said thermally doped margin is relatively thicker than said lower region of said thermally doped margin, and
wherein said reinforced corner comprises overlapping sections of said plasma doped upper portion and said upper region of said thermally doped margin such that said reinforced corner has a relatively higher concentration of nitrogen than any other portion of said single layer.
10. A semiconductor trench isolation structure comprising:
a substrate;
an isolation trench in a substrate; and,
a single layer of dielectric fill material completely filling said isolation trench,
wherein said isolation trench comprises a bottom surface and trench walls with an upper edge, and
wherein said single layer comprises:
a plasma doped upper portion, wherein said plasma doped upper portion comprises nitrogen;
a thermally doped margin adjacent to said trench walls and extending from said bottom surface to said upper edge, wherein said thermally doped margin comprises nitrogen;
a reinforced corner comprising overlapping sections of said plasma doped upper portion and an upper region of said thermally doped margin such that said reinforced corner has a relatively higher concentration of nitrogen than any other portion of said single layer; and
an undoped portion below said plasma doped upper portion and adjacent a lower portion of said thermally doped margin, wherein said plasma doped upper portion extends both above and below a level of a top surface of said substrate.
11. The structure according to claim 10,
wherein said upper edge of said trench walls abuts said top surface of said substrate and
wherein said plasma doped upper portion extends both above and below said upper edge of said trench walls.
12. The structure according to claim 10,
wherein said upper region of said thermally doped margin extends from said upper edge of said trench walls to a depth below said plasma doped upper portion,
wherein a concentration of nitrogen is graded between said upper region of said thermally doped margin and said low region of said thermally doped margin, and
wherein said upper region of said thermally doped margin is further relatively thicker than said low region of said thermally doped margin.
US10/905,683 2005-01-17 2005-01-17 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process Expired - Fee Related US7491964B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/905,683 US7491964B2 (en) 2005-01-17 2005-01-17 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process
US11/955,751 US7491563B2 (en) 2005-01-17 2007-12-13 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/905,683 US7491964B2 (en) 2005-01-17 2005-01-17 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/955,751 Division US7491563B2 (en) 2005-01-17 2007-12-13 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process

Publications (2)

Publication Number Publication Date
US20060160322A1 US20060160322A1 (en) 2006-07-20
US7491964B2 true US7491964B2 (en) 2009-02-17

Family

ID=36684478

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/905,683 Expired - Fee Related US7491964B2 (en) 2005-01-17 2005-01-17 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process
US11/955,751 Expired - Fee Related US7491563B2 (en) 2005-01-17 2007-12-13 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/955,751 Expired - Fee Related US7491563B2 (en) 2005-01-17 2007-12-13 Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process

Country Status (1)

Country Link
US (2) US7491964B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664050B2 (en) * 2012-03-20 2014-03-04 International Business Machines Corporation Structure and method to improve ETSOI MOSFETS with back gate
US8722479B2 (en) 2011-05-25 2014-05-13 Globalfoundries Inc. Method of protecting STI structures from erosion during processing operations
US20160329399A1 (en) * 2013-05-30 2016-11-10 Rohm Co., Ltd. Semiconductor device and method for manufacturing semiconductor device

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351694A (en) * 2005-06-14 2006-12-28 Fujitsu Ltd Semiconductor device and its manufacturing method
US8802537B1 (en) * 2005-07-27 2014-08-12 Spansion Llc System and method for improving reliability in a semiconductor device
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
KR100716664B1 (en) * 2005-12-23 2007-05-09 주식회사 하이닉스반도체 Semiconductor and method for fabricating the same
DE102005063130B4 (en) * 2005-12-30 2017-07-27 Advanced Micro Devices, Inc. A method of forming a trench isolation structure with different stress
KR100678645B1 (en) * 2006-01-13 2007-02-06 삼성전자주식회사 Semiconductor device and fabrication method for the same
KR100843246B1 (en) * 2007-05-22 2008-07-02 삼성전자주식회사 Semiconductor device having sti structure and method for manufacturing the same
KR20090008004A (en) * 2007-07-16 2009-01-21 삼성전자주식회사 Semiconductor device having sti structure and method for manufacturing the same
JP2009212450A (en) * 2008-03-06 2009-09-17 Toshiba Corp Semiconductor device and manufacturing method of semiconductor device
US20100193896A1 (en) * 2009-02-02 2010-08-05 Kisik Choi Method for nitridation of shallow trench isolation structure to prevent oxygen absorption
US8163625B2 (en) * 2009-04-07 2012-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
DE102011005719A1 (en) * 2011-03-17 2012-09-20 Globalfoundries Dresden Module One Llc & Co. Kg Increased integrity of large ε metal gate stacks by reducing STI settlements by depositing a filler after STI fabrication
US20120326230A1 (en) * 2011-06-22 2012-12-27 International Business Machines Corporation Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
US8569143B2 (en) * 2011-06-23 2013-10-29 GlobalFoundries, Inc. Methods of fabricating a semiconductor IC having a hardened shallow trench isolation (STI)
FR2981793A1 (en) * 2011-10-25 2013-04-26 St Microelectronics Crolles 2 PROCESS FOR MANUFACTURING ISOLATED GRID TRANSISTORS
US8987070B2 (en) * 2012-09-12 2015-03-24 International Business Machines Corporation SOI device with embedded liner in box layer to limit STI recess
KR20140094722A (en) * 2013-01-21 2014-07-31 삼성전자주식회사 Method for fabricating the semiconductor device
CN105448914B (en) * 2014-08-28 2019-12-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
JP6759366B2 (en) 2016-06-01 2020-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated High-pressure ammonia nitriding of tunnel oxides for 3D NAND
JP7092036B2 (en) * 2017-01-13 2022-06-28 日産化学株式会社 Resist underlayer film forming composition containing an amide solvent
WO2021007897A1 (en) * 2019-07-17 2021-01-21 上海新微技术研发中心有限公司 Monocrystalline silicon local soi substrate, photoelectric device, and preparation method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316965A (en) 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
US5811347A (en) 1996-04-29 1998-09-22 Advanced Micro Devices, Inc. Nitrogenated trench liner for improved shallow trench isolation
US6100160A (en) * 1998-02-17 2000-08-08 Texas Instruments Incorporated Oxide etch barrier formed by nitridation
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6352906B1 (en) 2000-07-28 2002-03-05 International Business Machines Corporation Nitridization of STI sidewalls
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6723663B1 (en) 2002-11-29 2004-04-20 Advanced Micro Devices, Inc. Technique for forming an oxide/nitride layer stack by controlling the nitrogen ion concentration in a nitridation plasma
US6744113B2 (en) 2002-09-13 2004-06-01 Renesas Technology Corp. Semiconductor device with element isolation using impurity-doped insulator and oxynitride film
US6759302B1 (en) 2002-07-30 2004-07-06 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxides by plasma nitridation on oxide
US6812159B2 (en) 2002-09-02 2004-11-02 Advanced Micro Devices, Inc. Method of forming a low leakage dielectric layer providing an increased capacitive coupling

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6392056B1 (en) * 2000-08-03 2002-05-21 Ciba Specialty Chemical Corporation 2H-benzotriazole UV absorders substituted with 1,1-diphenylalkyl groups and compositions stabilized therewith

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316965A (en) 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
US5811347A (en) 1996-04-29 1998-09-22 Advanced Micro Devices, Inc. Nitrogenated trench liner for improved shallow trench isolation
US6100160A (en) * 1998-02-17 2000-08-08 Texas Instruments Incorporated Oxide etch barrier formed by nitridation
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6352906B1 (en) 2000-07-28 2002-03-05 International Business Machines Corporation Nitridization of STI sidewalls
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6759302B1 (en) 2002-07-30 2004-07-06 Taiwan Semiconductor Manufacturing Company Method of generating multiple oxides by plasma nitridation on oxide
US6812159B2 (en) 2002-09-02 2004-11-02 Advanced Micro Devices, Inc. Method of forming a low leakage dielectric layer providing an increased capacitive coupling
US6744113B2 (en) 2002-09-13 2004-06-01 Renesas Technology Corp. Semiconductor device with element isolation using impurity-doped insulator and oxynitride film
US6723663B1 (en) 2002-11-29 2004-04-20 Advanced Micro Devices, Inc. Technique for forming an oxide/nitride layer stack by controlling the nitrogen ion concentration in a nitridation plasma

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8722479B2 (en) 2011-05-25 2014-05-13 Globalfoundries Inc. Method of protecting STI structures from erosion during processing operations
US8664050B2 (en) * 2012-03-20 2014-03-04 International Business Machines Corporation Structure and method to improve ETSOI MOSFETS with back gate
US9337259B2 (en) 2012-03-20 2016-05-10 Globalfoundries Inc. Structure and method to improve ETSOI MOSFETS with back gate
US20160329399A1 (en) * 2013-05-30 2016-11-10 Rohm Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US10622443B2 (en) * 2013-05-30 2020-04-14 Rohm Co., Ltd. Semiconductor device with different material layers in element separation portion trench and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20060160322A1 (en) 2006-07-20
US7491563B2 (en) 2009-02-17
US20080090379A1 (en) 2008-04-17

Similar Documents

Publication Publication Date Title
US7491964B2 (en) Nitridation of STI fill oxide to prevent the loss of STI fill oxide during manufacturing process
US6261921B1 (en) Method of forming shallow trench isolation structure
US7501691B2 (en) Trench insulation structures including an oxide liner and oxidation barrier
US5985735A (en) Trench isolation process using nitrogen preconditioning to reduce crystal defects
US6709951B2 (en) Oxynitride shallow trench isolation and method of formation
US6368931B1 (en) Thin tensile layers in shallow trench isolation and method of making same
US6730566B2 (en) Method for non-thermally nitrided gate formation for high voltage devices
US6468853B1 (en) Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US20070178664A1 (en) Shallow trench isolation structure and method of fabricating the same
US6949447B2 (en) Method for fabricating isolation layer in semiconductor device
US6924542B2 (en) Trench isolation without grooving
US20070264839A1 (en) Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7645678B2 (en) Process of manufacturing a shallow trench isolation and process of treating bottom surface of the shallow trench for avoiding bubble defects
US6599813B2 (en) Method of forming shallow trench isolation for thin silicon-on-insulator substrates
US7358150B2 (en) Trench isolation structure for a semiconductor device with reduced sidewall stress and a method of manufacturing the same
US6355539B1 (en) Method for forming shallow trench isolation
US6383874B1 (en) In-situ stack for high volume production of isolation regions
US7327008B2 (en) Structure and method for mixed-substrate SIMOX technology
US6720235B2 (en) Method of forming shallow trench isolation in a semiconductor substrate
US20050112841A1 (en) Method for isolating semiconductor devices
KR100917106B1 (en) Method for forming an isolation layer in semiconductor device
KR20010008560A (en) Method For Forming The Isolation Layer Of Semiconductor Device
KR100595858B1 (en) Fabricating method of semiconductor device
KR20080086222A (en) Method for forming shallow trench isolation of semiconductor device
KR20060130930A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BUEHRER, FRED;CHOU, ANTHONY I;FURUKAWA, TOSHIHARU;AND OTHERS;REEL/FRAME:015575/0425;SIGNING DATES FROM 20041216 TO 20041217

AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BUEHRER, FRED;CHOU, ANTHONY I;FURUKAWA, TOSHIHARU;AND OTHERS;REEL/FRAME:017917/0906;SIGNING DATES FROM 20041216 TO 20041217

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20130217